verilog HDL中wire和reg 第3页