中科大Cadence使用手册 - 图文

图中所有单元来自analoglib库symbol,参数如下: Cell Name vpulse vpulse cap

Pin管脚:

Name: INA INB OUT, Direction: inputoutput

[2]、编写仿真文件

1.设置仿真环境。点击Tools->Analog Environment

2.设置仿真模型文件路径。在弹出的“Analog Design Environment”(ADE)窗口,点击Setup->Simulation Files

在Include Path中加上 ./Models , ->OK

CDF Parameter

V0: Volt 1:0, Volt2:3, Delay:10n, Rise:500p,Fall:500p,width:10n,Period:20n V1: Volt 1:0, Volt2:3, Delay:15n, Rise:500p,Fall:500p,width:20n,Period:40n For C0: Capacitance: 100f

vdc For V2: DC votlage:3, Number of noise:0 (前面所有电源此项均为0) vdd,gnd

3.输入模型文件名。在ADE窗口,点击Setup->Model Libraries 在Model Library File栏中:allModels.scs,->add->OK

4.选择需要查看的信号。ADE窗口,点击Outputs->To Be Plotted->Select On Schematic 在Schematic原理图中点击3个Pin的连线(线会变颜色)。相应地,此时ADE窗口Outputs框中就有了你所要观察的信号。

5. 保存仿真激励文件。点击ADE窗口的Session->Save State

Save As栏:state1 OK

(可以关掉“Analog Design Environment”窗口)。

[3]、将激励模块加入被仿真电路。

1.回到Schematic窗口,生成testnand2单元的symbol Design -> Create Cellview->From Cellview

在Cellview From Cellview窗口,From View Name栏为:schematic,Tool / Data Type栏为Composer-Symbol。 OK

在“Symbol Generation Options”窗口,将“Top Pins”栏中的“OUT INB INA”拷贝到Right Pins栏中,删除Top Pins栏中的原来内容,OK

出现“Virtuoso Schematic Editing:mylib testnand2 symbol”窗口,Design->Check and Save, 退出testnand2的symbol窗口。

2. 在“Library Manager”窗口,打开mylib中你在实验一画好的nand2原理图,添加

testnand2元件,按下图连线。

保存文件Check and Save。 (不要退出Schematic窗口)

[4]、仿真 1.“Virtuoso Schematic Editing:…”窗口,Tools ->Analog Envienment

2.加激励。弹出ADE(Cadence Analog Design envirnment)窗口,Session -> Load State。 在“Loading State”窗口,Library选mylib,Cell选testnand2, Simulator选spectre; State Name 框中选:state1, OK

3.设置分析模式和仿真时间。点击ADE窗口的Analyses->Choose Analysis选项中tran应有效;Stop Time: 200n Enabled有效, OK

4.计算。点击ADE窗口右边Netlist and Run,弹出“Welcome to Spectre”窗口,OK 你应该看到spectre.out文件和仿真波形Waveform。

5.选择Waveform窗口上方菜单Axes->Strips, 各信号波形分开显示。 6.用鼠标拖Waveform Window的边界,放大显示区域。 7.试一试,怎样看波形数据?

完成后,在ADE窗口,Session -> Quit

二、amplifier电路(运算放大器)仿真

[1]、打开你在实验一画好的amplifier原理图,选中电容,Q,Capacitance值设为800f,Save and Check退出。

[2]、新建testamplifier单元

1、查看CIW窗口:Tools->Library Manager,在Library中应有mylib,点击它。 在Library Manager 窗口,File->New->Cellview,

在弹出的“Create New File”窗口Cell Name栏中,testamplifier Tool栏中,选Composer-Schematic OK

2、添加元件如下图:Amp 单元(Cell)是你在实验一画好的amplifier Cell的Symbol,其余单元在analogLib库中。

图中除amplifier外所有单元来自analoglib库symbol,参数如下: Cell Name

CDF Parameter

vdc For V0、V1:DC votlage:5

vsin For V2: AC phase:0,Offset:0,Amplitude:100m,Frequency:1M, delay:0 idc For I0:DC current:500u,

res For R0、R1:resistance分别为10K和100K vdd,gnd,vss 正负电源、地

联系客服:779662525#qq.com(#替换为@)