基于FPGA的FSK编码的VHDL实现

xxxx大学本科毕业设计(论文)

要用各个芯片厂商提供的编译器来生成可用于装载(download)的文件进行装载。例如,Altera公司的软件生成的装载文件是 .POF,用软件菜单中的Program命令装载芯片;Lattice公司的软件生成的装载文件是 .JED,用软件菜单中的Fitter命令装载芯片。每个公司的开发工具都具备这些功能。最后是对装载的芯片进行系统调试以验证设计的正确性。如果其中哪一步出现错误,都要重复前面的步骤,直到最终产品调试通过。

10B

第三节 本章小结

本章主要介绍了FPGA的基本原理,编程时的基本配置,以及基于FPGA设计开发的基本流程,同时介绍了VHDL语言在电子设计中的应用,最后介绍了VHDL语言的设计流程,详细介绍没个步,而且骤该做些什么,最后能正确通过VHDL语言进行FPGA的电子设计。

2B

第二章FSK调制基本原理

11B

第一节 FSK调制基本概述

一、 FSK简介

20B

信息的传输是通信领域不可缺少的研究内容,由于频率资源的有限性,限制了我们无法用开路信道传输信息;其次,通信的最终目的是远距离传递信息。由于传输失真、传输损耗以及无法保证带内特性的原因,基带信号是无法在无线信道或光纤信道上进行长距离传输的。为了在信道中进行长途传输,必须对数字信号进行载波调制,将信号频谱搬移到高频处就是所谓的调制。

数字频移键控(FSK)是一种对正弦波载波进行数字非线性调制解调技术。它是继ASK之后出现较早的一种调制解调技术方式,由于它抗噪声、抗衰落性能较好,设备不算复杂,实现容易,所以在很多场合获得应用。二进制频移键控是用两个不同频率的载波来代表数字信号的两种电平。接受端受到不同的载波信号再进行逆变换成为数字信号,完成信息传输过程。由于数字信号只有“0”和“1”两种状态, 所以数字调制完全可以理解为像报务员用开关电键控制载波的过程。

二、 FSK信号的时域表达(以2FSK为例子说明)

21B

二进制频移键控是指载波的频率受调制信号的控制,而幅度和相位保持不变。设二进制数字信号的“1”对应载波频率f1,“0”对应载波频率f,而且f12- 12 -

xxxx大学本科毕业设计(论文)

和f2质检的改变时瞬间完成的。因此,二进制频移键控信号可以看成是两个不同载波的二进制频移键控信号的叠加。根据以上分析,得出2FSK信号的时域表达式为:

S2FSk(t)?[?nang(t?nTs)]cos(w1t??n)?[?ang(t?nTs)]cos(w2t??n)

这里?n和?n分别表示第n和信号码元的初始相位。 一般的,将g?t?看做是宽度为Ts的单极性脉冲波形。

设s1(t)??ang(t?nTs)和s2(t)??ang(t?nTs) 于是,可以将2FSK信号表示为:

cos(w1t??n)

图 2.1 2FSK时域时间波形 2FSK信号

nnS2FSK(t)?s(t)cos(w1??n)?s2(t)cos(w2??n)

2FSK信号的典型事件波形如下图所示:

s1(t) s2(t)

1 0 1 1 0 0 1 cos(w2t??n) s1(t)cos(w1t??n) s2(t)cos(w2t??n)

三、 2FSK调制基本原理

22B

- 13 -

xxxx大学本科毕业设计(论文)

通常2FSK信号可以由两种电路实现。图2.2所示为模拟调频法,它是利用二进制基带信号对载波进行调频,这种方法不存在相位断续的现象,是频移键控通信方式早期采用的实现方法。图2.3所示是用数字键控法产生二进制移频键控信号的原理图,图中两个振荡器的输出载波受输入的二进制基带信号控制,在一个码元Ts期间输出f1或f2两个载波之一。该方法由于使用两个独立振荡器,使得信号波形的相位存在不连续的现象,但它具有转换速度好、波形好、稳定度高且易于实现等优点,得到广泛采用。

图2.1 模拟调频法

图2.2 键控法

~ 振荡器1

~ s(t)

s(t) S2FSK(t)

开关

振荡器2

~ S2FSK(t)

电压控制振荡器

在本次设计中,采用键控法,利用FPGA产生两路不同频率的载波,根据s(t)“0”和“1”的变化切换到相应的载波,最后进行数\\模转换输出FSK波形。后面章节会进行详细介绍。

12B

第二节 本章小结

本章介绍了调制解调的基本方法,其中以2FSK为代表,阐述FSK调制的两种方法,模拟调制和键控法,并且对FSK的时域波形进行了详细讲解,为后面的FSK调制设计监理理论基础。

- 14 -

联系客服:779662525#qq.com(#替换为@)