基于FPGA的FSK编码的VHDL实现

编 号:

审定成绩:

xxxx大学 毕业设计(论文)

设计(论文)题目: 基于FPGA的FSK编码的VHDL实现

学 院 名 称 : 学 生 姓 名 : 专 业 : 班 级 : 学 号 : 指 导 教 师 : 答辩组 负责人 :

填表时间: 年 月 重庆邮电大学教务处制

xxxx大学本科毕业设计(论文)

摘要

FPGA是英文Field-Programmable Gate Array的缩写,即现场可编程门阵列,它是在PAL、GAL、EPLD等可编程器件的基础上进一步发展的产物。它具有可编程逻辑器件现场可编程的灵活性, 又具有门陈列器件功能强、高集成度和高速度的优点, 因此在现代通信系统设计中被越来越广泛的应用。

本论文从系统仿真和FPGA实现对FSK调制进行研究。在仿真方面用QuartusII作为VHDL语言实现FSK的仿真平台,实现系统波形仿真。实现用Altera公司的CycloneII作为处理芯片,配合外围电路完成FSk的调制功能。通过VHDL语言编程,把逻辑电路的语言化,用QuartusII生成调制所需的各个模块,最后实现FSK的调制。

本论文共分为三章,第一章主要介绍了FPGA的基本原理以及应用、发展现状,另外还介绍了VHDL语言的特点;第二章中较为详细的讲述了FSK调制的基本原理,其中包括FSK的多种调制方法等相关知识。第三章介绍了用QuartusII实现2FSK调制算法的实现,其中包括对各个功能模块的介绍和仿真结果及其意义。

【关键词】FPGA VHDL FSK调制

- 1 -

xxxx大学本科毕业设计(论文)

ABSTRACT

FPGA is a Field-Programmable Gate Array English acronym, that is, field programmable gate array, it is in PAL, GAL, EPLD based on programmable devices such as the further development of the product.It has a programmable flexibility of field programmable logic devices, but also has powerful function gate array devices, high integration and speed advantage, so in the modern communications system design is more widely used.

This paper from the system simulation and FPGA implementation of the FSK modulation study.QuartusII in simulation using VHDL language FSK as the simulation platform, to achieve the system waveform simulation.Implemented by the Altera Corporation CycloneII a processing chip with external circuit to complete FSk the modulation function.Through the VHDL programming language, the language of logic, with QuartusII modules required to generate modulation, FSK modulation the final realization.

The thesis is divided into three chapters, the first chapter introduces the basic principles of FPGA and its applications, development status, also described the characteristics of VHDL language; second chapter describes in detail the basic principle of FSK modulation, includingFSK modulation methods of a variety of knowledge.The third chapter describes the implementation with QuartusII 2FSK modulation algorithm, including the introduction of various functional modules and the simulation results and their significance.

【Key words】FPGA

VHDL FSK modulation

- 2 -

xxxx大学本科毕业设计(论文)

目录

UU

U

U

U

U

U

U

前 言 .......................................................................................................................................... 4 第一章 FPGA和VHDL语言 ..................................................................................................... 5

第一节 FPGA基本原理和设计基础 ................................................................................ 5

一、 FPGA的基本工作原理 ................................................................................ 5 二、 FPGA设计基础 ............................................................................................ 7 第二节 VHDL硬件描述语言简介 ................................................................................... 9

一、 VHDL语言的应用 ....................................................................................... 9 二、 VHDL语言的特点与设计流程 ................................................................. 10 第三节 本章小结 .............................................................................................................. 12 第二章FSK调制基本原理 ......................................................................................................... 12

第一节 FSK调制基本概述 ............................................................................................... 12

一、 FSK简介 ..................................................................................................... 12 二、 FSK信号的时域表达(以2FSK为例子说明) ....................................... 12 三、 2FSK调制基本原理 ................................................................................... 13 第二节 本章小结 .............................................................................................................. 14 第三章 基于QuartusII的FSK调制算法仿真实现 .................................................................... 15

第一节 QuartusII使用简介 ............................................................................................. 15

一、 QuartusII软件简介 ..................................................................................... 15 二、 QuartusII软件设计流程 ............................................................................. 15 三、 设计图解 .................................................................................................... 17 第二节 FSK调制的VHDL实现 ........................................................................................ 21

一、 FSK调制总体思路 ..................................................................................... 21 二、 分频器 ........................................................................................................ 21 三、 M系列产生基带信号 ................................................................................. 22 四、 正弦波信号发生器 .................................................................................... 23 第三节 本章小结 .............................................................................................................. 23 结论 ............................................................................................................................................ 24 致谢 ............................................................................................................................................ 25 参考文献 .................................................................................................................................... 26 附录 ............................................................................................................................................ 27

U

U

U

U

U

U

U

U

U

U

U

U

U

U

U

U

U

U

U

U

U

U

U

U

U

U

U

U

U

U

U

U

U

U

U

U

U

U

U

U

U

U

U

U

U

U

U

U

U

U

U

U

U

U

U

U

U

U

U

U

U

U

U

U

U

U

U

U

U

U

U

U

U

U

U

U

UU

U

U

- 3 -

联系客服:779662525#qq.com(#替换为@)