FPGA ´ð±çÂÛÎÄ - ͼÎÄ

¸½Â¼C

¸½Â¼B (ƵÂÊÔËËã¿ØÖÆÄ£¿é´úÂë)

module ys(data_in,data_out,q,c,led); input [27:0] data_in; output [9:0] q; output [3:0] c;

output [22:0] data_out; output [1:0] led; reg [9:0] q; wire [3:0] c;

wire [22:0] data_out; wire [1:0] led;

assign led=(data_in<1000000)?2'b01:2'b10; assign data_out[22:0]=data_in[22:0]; assign c=10;

always @(data_in) begin

if(data_in<10000) q=1;

else if(data_in<100000) q=10; else if(data_in<1000000) q=100; else q=1000; end

endmodule

- 45 -

¸½Â¼D

¸½Â¼C (FPGAºËÐÄ°åÔ­Àíͼ)

ͼ1 FPGAºËÐÄ°å1

- 46 -

ÁªÏµ¿Í·þ£º779662525#qq.com(#Ì滻Ϊ@)