基于eda的课程设计 自动售货机控制设计 下载本文

图4.2 购买一元商品仿真图

经仿真图验证,购买一元商品时的设计是正确的。 购买五元商品时,仿真图如图4.3所示:

图4.3 购买五元商品仿真图

经仿真图验证,购买五元商品时的设计是正确的。 购买十元商品时,仿真图如图4.4所示:

图4.4 购买十元商品仿真图

经仿真图验证,购买十元商品时的设计是正确的。 投币面值不足时的仿真图,如图4.5所示:

图4.5 购买商品投币不足时仿真图

经仿真图可知,投币不足的设计是正确的。 当找零时的仿真图,如图4.6所示:

7

图4.6 购买商品找零仿真图

经仿真图验证,使用复位键的设计是正确的。 由上,总体可知,此自动售货机的设计是正确的。

4.1.4 硬件封装图

总体的硬件封装图,如图4.7所示:

图4.7 硬件封装图

输入引脚为rst,clk,ai,bi,ci,di,ei,fi,ok。其中rst为复位引脚;clk为始终引脚,频率为1Hz;ai为选择一元商品引脚;bi为选择五元商品引脚;ci为选择十元商品引脚;di为投币一元引脚;ei为投币五元引脚;fi为投币十元引脚;ok为确认引脚,即购买商品。

输出引脚为xianshi,ao,bo,co,warn。其中xianshi为购买商品的价格,输入的钱数与找零的公用引脚;ao为成功输出一元商品的引脚;bo为成功输出五元商品的引脚;co为成功输出十元商品的引脚;do为输入钱数不足时的警告引脚。

8

5 总结

在学期期末,院系安排我们进行FPGA课程设计。首先,我们根据老师给我们的题目进行设计。然后,利用Quartus Ⅱ进行编译仿真等工作。

通过FPGA课程设计,我不仅加深了对数字电路理论的理解,将理论很好地应用到实际当中去,而且我还学会了如何去培养我们的创新精神,从而不断地战胜自己,超越自己。创新,是要我们学会将理论很好地联系实际,并不断地去开动自己的大脑,从为人类造福的意愿出发,做自己力所能及的,别人却没想到的事。使之不断地战胜别人,超越前人。同时,更重要的是,我在这一设计过程中,学会了坚持不懈,不轻易言弃。设计过程,也好比是我们人类成长的历程,常有一些不如意,也许这就是在对我们提出了挑战,勇敢过,也战胜了,胜利的钟声也就一定会为我们而敲响。

这个设计过程中,我遇到过许多次失败的考验,就比如,自己对软件Quartus Ⅱ不熟悉,遇到了很多困难。有时候真想就此罢休,然而,就在想要放弃的那一刻,我明白了,原来结果并不那么重要,我们更应该注重的是这一整个过程。于是,我坚持了下来。当然最终,这个设计很完成了。

9

参考文献

[1].Voknei A.Pedroni.VHDL数字电路设计教程.电子工业出版社,2008.5 [2].潘松,黄继业.EDA技术实用教程(第二版).科学出版社,2005.2 [3].焦素敏.EDA应用技术.清华大学出版社,2002.4

[4].张昌凡等.可编程逻辑器件及VHDL设计技术[M].广州:华南理工大学出版社,2001 [5].曾繁泰,陈美金.VHDL程序设计[M].北京:清华大学出版社,2001

10