EDA实验指导书 下载本文

图2.1.4 3、保存原理图

单击保存按钮,对于第一次输入的新原理图,出现类似文件管理器的图框,选择合适的目录和名称保存刚才输入的原理图2.1.4,原理图的扩展名.GDF,本实验中取名为:EDA.GDF。 (3)原理图编译

启动MAX+PLUSII\COMPILER菜单,按START开始编译,生成.SOF和.POF等文件,以便硬件下载和编程时调用,同时生成.RPT文件,如图2.1.5。

9

图2.1.5 (4)管脚的重新分配锁定:

启动MAX+PLUSⅡ\FLOORPLIN EDITOR菜单命令,出现如图2.1.6所示的画面:

10

图2.1.6

FLOORPLAN EDITOR显示该设计项目的管脚分配,这是由软件自动分配的。用户可随意改变管脚分配。管脚编辑过程如下(见图2.1.7): 1.按下CURRENT ASSIGNMENTS FLOORPLAN,所有的输出都会出现在UNASSIGNED NODES栏框内。

2.用鼠标按住某输入输出门名称,并拖到下面芯片的某一管脚上,松开鼠标左键,使完成一个管脚的分配。 (5)实验电路板上的连线

用拨码开关的低三位代表译码器输入(A,B,C),将之与ALTERA(EPM712884—15芯片)的35,36,37芯片的管脚相连;用LED来表示译码器的输出,将它们与 54,55,56,57,58,60,61,63管脚相连。(我们实验例程的管脚分配结果)

11

A 0 1 0 1 0 1 0 1 B 0 0 1 1 0 0 1 1 C 0 0 0 0 1 1 1 1 LED0 LED1 LED2 LED3 LED4 LED5 LED6 LED7 1 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 1 注意:以上实验电路板上的连线可根据自己对管脚的锁定情况而定。

图2.1.7

12