PWM控制直流调速系统毕业设计 下载本文

毕 业 设 计(论文)题 目 PWM控制直流调速系统的设计

毕业设计(论文)英文题目 The design of PWM DC speed regulating system

姓名 赵文琦

专 业 电气自动化 年 级 12级

指导教师 张晓红 职 称

提交日期 答辩日期

辽宁工程技术大学应用技术学院

年 月

摘要

随着社会的发展与进步,电动机在工农业生产、人们日常生活中起着十分重要的作用。电机分为多种,而直流电机是非常普遍的一种电机,他具有良好的调速性能,较大的启动转矩和过载能力,因此在各领域中都得到广泛应用。研究直流电机的控制和测量方法,对提高控制精度和响应速度、和提高产品的质量都具有重要意义。电机调速问题一直是自动化领域比较重要的环节之一。不同领域对于电机的调速性能有着不同的要求,因此,不同的调速方法有着不同的应用场合。

本设计是以电力电子学,电机与拖动学为基础,设计了一种基于脉冲宽度调制(简称PWM)控制技术的直流电机调速控制系统,对于调速系统中要用到的大功率半导体开关器件,鉴于各项指标的考虑,本文选用的是绝缘栅双极型晶体管(简称IGBT)。论文中对IGBT应用时要注意的事项进行了详细的讨论,对PWM控制的原理进行了详细的说明。论文给出了以SG3525芯片为核心的IGBT的驱动和保护电路,重点对集成PWM控制器SG3525做了详细的介绍,分析了SG3525的内部结构和外围电路的接法,并给出了它在系统中的应用电路。为了验证系统初步运行的可靠性,本论文进行了相应的仿真设计。

关键词: IGBT;PWM控制;调速;仿真

- I -

Abstract

With the development and progress of the society, the motor in industrial and agricultural production, plays a very important role in People's Daily life. Motor is divided into multiple, and dc motor is one of the very common, he has a good speed regulating performance, bigger starting torque and overload capacity, thus is widely used in various fields. Study of dc motor control and measurement method, to improve the control accuracy and response speed, and improve the quality of the product is of great significance. Motor speed control automation is one of the more important link. Different areas for motor speed control performance has different requirements, therefore, different control methods have different applications.

This design is based on power electronics, motor and drag as the foundation, design a kind of based on pulse width modulation (PWM) control technology of the dc motor speed control system, the speed control system used in high power semiconductor switching devices, in view of the consideration of the indicators, this article chooses the insulated gate bipolar transistor (IGBT). Items to be paid attention to when using the IGBT in the paper discussed in detail, and has carried on the detailed instructions to the principle of PWM control. Paper gives the SG3525 chip as the core of IGBT drive and protective circuit, focusing on integrated PWM controller SG3525 is introduced in detail, analyzes the internal structure of SG3525 and peripheral circuit connection, and its application in the system circuit is presented. In order to verify the reliability of system preliminary operation, this paper has carried on the corresponding simulation design.

Key words: IGBT; PWM control; Speed control;The simulation

- II -

目录

0 引言 ........................................................ 1 1 直流电动机及PWM调速系统 .................................. 3 1.1 直流电动机调速方式的选择 .................................. 3 1.1.1 直流电动机调速方法的选择 ................................ 3 1.1.2 直流电源的选择 .......................................... 4 1.1.3 晶闸管整流的缺点 ........................................ 5 1.2 PWM控制系统 .............................................. 6 1.2.1 选择PWM控制直流电动机调速的理由 ........................ 6 2 元器件的选择 ................................................ 7 2.1 门极可关断器件 ............................................ 7 2.1.1 几种常见的门极可关断器件 ................................ 7 2.2 IGBT的基本结构与工作原理 ................................. 9 2.2.1 基本结构 ................................................ 9 2.2.2 工作原理 ............................................... 10 2.3 IGBT的基本特性与主要参数 ................................ 10 2.3.1 IGBT的基本特性 ........................................ 11 2.3.2 IGBT的主要参数 ........................................ 12 2.3.3 IGBT的特性和参数特点 .................................. 12 2.4 注意事项与IGBT的保护 .................................... 12 2.4.1 注意事项 ............................................... 12 2.4.2 IGBT的保护 ............................................ 13 2.5 直流电动机及驱动芯片的选择 ............................... 13 2.5.1 直流电动机的选择 ....................................... 13 2.5.2 驱动模块的选择 ......................................... 13

3 PWM调速直流调速系统的主电路 .............................. 14 3.1 直流电源的设计 ........................................... 14 3.1.1 三相桥式整流电路 ....................................... 14 3.2 PWM直流调速系统的主电路的设计 ........................... 16 3.2.1 PWM变换器的介绍 ....................................... 16 4 PWM控制直流电机控制系统的设计 ............................ 24 4.1 PWM信号发生器 ........................................... 24 4.1.1 SG3525芯片的主要特点 .................................. 24 4.1.2 SG3525各引脚端子功能 .................................. 25 4.1.3 SG3525工作原理 ........................................ 27 4.1.4 SG3525外围接线电路 .................................... 28 4.2 IGBT的驱动与电路的过流保护 .............................. 28 4.2.1 IGBT的驱动 ............................................ 28 4.2.2 电路的过流保护电路 ..................................... 30 4.3 总设计图 ................................................. 30 5 PWM控制直流电机调速系统的仿真设计 ........................ 32 5.1 软件简介 ................................................. 32 5.1.1 工作窗口 ............................................... 32 5.1.2 元器件的选择及参数设置 ................................. 32 5.2 电路的仿真 ............................................... 33 5.2.1 ±15V电压源的设计及仿真 ............................... 33 5.2.2 IGBT驱动电路的设计 .................................... 33 5.2.3 主电路的仿真 ........................................... 35 结束语 ........................................................ 36 参考文献 ...................................................... 37

致谢 .......................................................... 38

辽宁工程技术大学应用技术与经济管理学院毕业设计(论文)

0 引言

随着电力电子技术的发展,电气自动化在20世纪50年代以来曾进行了两次重大的技术更新。一次是元器件的更新,即以大功率半导体器件晶闸管取代传统的变流机组,以线形组件运算放大器取代电磁放大器件。后一次技术更新主要是把现代控制理论和计算机技术用于电气工程,控制器由模拟式进入了数字式。在前一次技术更新中,电气系统的动态设计仍采用经典控制理论的方法。而后一次技术更新是设计思想和理论概念上的一个飞跃和质变,电气系统的结构和性能亦随之改观。在整个电气自动化系统中,电力拖动及调速系统是其中的核心部分。

纵观电力拖动的发展过程,交、直流两种拖动方式并存于各个生产领域。随着工业技术的不断发展,两种拖动方式在相互竞争、相互促进中发生着深刻的变革。在交流电机出现以前,直流电力拖动是唯一的拖动方式。19世纪末,由于研制出了经济实用的交流电机,使交流电力拖动在工业中得到了广泛的应用。但是随着生产技术的发展,特别是精密机械加工与冶金工业生产过程的进步,对电力拖动在启动、制动、正反转以及调速精度与范围等静态特性和动态特性响应方面提出了更新、更高的要求。由于交流电力拖动比直流电力拖动在技术上难以实现这些要求,所以20世纪以来,在可逆、可调速与高精度的拖动领域中,在相当长的一段时期内几乎都是采用直流电力拖动,而交流电力拖动则主要用于恒转速系统。

电力拖动的发展大致可以分为这样几个阶段:第一阶段是单一电机的拖动控制阶段,很多工作机械都是由一台主电机作为动力,通过天轴和机械传动的方式进行,往往在一个车间就只有一台电动机,对电机的控制采用继电-接触器,这种工作方式噪声大、效率低、指针精度差;第二阶段,电力拖动走向了单机拖动的时代,一台电机拖动一台机械设备,采用模拟电路与晶闸管等电力电子器件进行控制,与前一阶段相比,电机的利用率、加工精度都有了很大的提高;第三阶段,电机的拖动控制向单独的器件发展,即一个旋转部件由一台电机拖动,采用数字电子计算机等数字控制设备进行控制,先进的控制方法也逐渐在电力拖动领域得到广泛的应用。

目前,随着大功率电力电子器件的迅速发展,交流变频调速技术已日臻成熟并日渐成为实际应用的主流,但这并不意味着传统的直流调速技术已经完全退出了实际应用的舞台。相反,近几年交流变频调速在控制精度的提高上遇到了瓶颈,于是直流调速的优

- 1 -

辽宁工程技术大学应用技术与经济管理学院毕业设计(论文)

势就显现了出来。直流调速仍然是目前最可靠,精度最高的调速方法。譬如在对控制精度有较高要求的造纸,转台,轮机定位等系统中仍离不开直流调速装置,因此加强对直流调速系统的研究还是很有必要的。鉴于直流调速系统在国民经济和工农业生产以及国防事业中的重要作用,有必要对直流调速系统作进一步的研究和开发。

- 2 -

辽宁工程技术大学应用技术与经济管理学院毕业设计(论文)

1 直流电动机及PWM调速系统

直流电动机的种类有很多,按照励磁方式分为他励、并励,串励,复励(集复励和差复励)本设计主要研究他励直流电动机,及调速方式的选择。

1.1 直流电动机调速方式的选择

1.1.1 直流电动机调速方法的选择

直流电动机的调速方法有如下三种:

1)电枢串电阻调速。调速范围小,从曲线可以看出,电枢回路串入的电阻越大,调速范围就越大,但串入较大的电阻时,机械特性又太软,负载稍有波动,转速就会有很大的波动,使系统运行不稳定;在电动机电枢回路外串电阻进行调速的方法,设备简单,操作方便。但是只能进行有级调速,调速平滑性差,机械特性较软;空载时几乎没什么调速作用;还会在调速电阻上消耗大量电能。如下图1-1

图1-1 他励直流电动机电枢串入电阻时的接线图及特性曲线

2)减弱磁通?调速。调速范围小,减弱磁通调速只能从基速向上调,而转速的上限受换向和机械强度的限制,因而调速范围小;调速的相对稳定性差,调速速后的特性变软;调速的的平滑性好;属于恒功率调速。如下图1-2

3)降低电压调速。改变电枢电压主要是从额定电压往下降低电枢电压,从电动机额定转速向下变速,属恒转矩调速方法。对于要求在一定范围内无级平滑调速的系统来说,这种方法最好。如图1-3所示Ia变化遇到的时间常数较小,能快速响应,但是需要大容量可调直流电源。

- 3 -

辽宁工程技术大学应用技术与经济管理学院毕业设计(论文)

图1-2 直流电机的减弱磁通调速

图1-3 他励直流电动机降低电压调速的接线图及特性曲线

总观以上三种的调速方式,改变电枢电阻调速缺点很多,目前很少采用,仅在有些起重机、卷扬机及电车等调速性能要求不高或低速运转时间不长的传动系统中采用。弱磁调速范围不大,往往是和调压调速配合使用,在额定转速以上作小范围的升速。对于要求在一定范围内无级平滑调速的系统来说,以调节电枢供电电压的方式为最好。因此,自动控制的直流调速系统往往以调压调速为主速。

1.1.2 直流电源的选择

降低电压调速需要有专门的可调压直流电源,可调直流电源一般分为以下三种:

- 4 -

辽宁工程技术大学应用技术与经济管理学院毕业设计(论文)

1)直流发电机-电动机组(简称G-M系统)。这种系统电机多,占地面积大,噪音大,效率低,目前已很少采用。

2)晶闸管整流装置。整流装置是将交流电压变为可调的直流电压,向直流电动机供电,改变晶闸管出发装置的控制角,可以改变整流装置输出的直流电压,即可改变电动机电枢两端的平均电压,实现电动机的调速。

3)脉宽调制器或直流斩波电路。用恒定直流电源或不可控整流电源供电,利直流斩波或脉宽调制的方法产生可调的直流平均电压。实现电机的调速。

综以上三种情况考虑,直流发电机-电动机组,这种系统的缺点较多,性价比较差,占地面积,大噪音大,给环境造成了污染,采用晶闸管整流相对G-M这种静止的这种整流装置来说,它的整流装置的供电调速系统的占地面积小,噪音小,效率高,现已基本上代替了直流发电机-电动机组,但是晶闸管整流也有其自身的缺点。

1.1.3 晶闸管整流的缺点

晶闸管整流的缺点一般常见为如下几点:

1)晶闸管一般是单向导电元件,晶闸管整流器的电流是不允许反向的,这给电动机实现可逆运行造成困难。必须实现四象限可逆运行时,只好采用开关切换或正、反两组全控型整流电路,构成V-M可逆调速系统,后者所用变流设备要增多一倍。

2)晶闸管元件对于过电压、过电流以及过高的du/dt和di/dt十分敏感,其中任意指标超过允许值都可能在很短时间内元件损坏,因此必须有可靠的保护装置和符合要求的散热条件,而且在选择元件时还应保留足够的余量,以保证晶闸管装置的可靠运行。

3)晶闸管的控制原理决定了只能滞后触发,因此,晶闸管可控制整流器对交流电源来说相当于一个感性负载,吸取滞后的无功电流,因此功率因数低,特别是在深调速状态,即系统在较低速运行时,晶闸管的导通角很小,使得系统的功率因数很低,并产生较大的高次谐波电流,引起电网电压波形畸变,殃及附近的用电设备。如果采用晶闸管整流装置的调速系统在电网中所占容量比重较大,将造成所谓的“电力公害”。为此,应采取相应的无功补偿、滤波和高次谐波的抑制措施。

4)晶闸管整流装置的输出电压是脉动的,而且脉波数总是有限的。如果主电路电感不是非常大,则输出电流总存在连续和断续两种情况,因而机械特性也有连续和断续两段,连续段特性比较硬,基本上还是直线;断续段特性则很软,而且呈现出显著的非线性。

- 5 -

辽宁工程技术大学应用技术与经济管理学院毕业设计(论文)

由于以上种种原因,所以选择了脉宽调制变换器进行改变电枢电压的直流调速系统。

1.2 PWM控制系统

在异步电动机恒转矩的变频调速系统中,随着变频器输出频率的变化,必须相应地调节其输出电压。此外,在变频器输出频率不变的情况下,为了补偿电网电压和负载变化所引起的输出电压波动,也应适当地调节其输出电压。具体现实调节和调频的方法有很多种,但一般按变频器的输出电压和频率的控制方法分为PAM和PWM

PAM脉幅调制型变频,是一种通过改变电压源的电压Ud或电流源Id的幅值,进行输出控制的方式。它在逆变器部分只控制频率,在整流电路和中间电路部分控制输出的电压或电流。由于PAM存在一些固有的缺陷,目前变频器中已很少应用。

PWM脉宽调制型变频,是靠改变脉冲宽度来控制输出电压,通过改变调制周期来控制其输出频率。脉宽调制的方法很多,以调制脉冲的极性分,可分为单极性调制和双极性调制两种;以载频型号与参考信号频率之间的关系分,可分为同步调制和异步调制两种。

1.2.1 选择PWM控制直流电动机调速的理由

脉宽调制器UPW采用美国Silicon General开发的快速型IGBT驱动专用模块SG3525,这是一种性能优良,功能全、通用性强的单片集成PWM控制器。由于它简单、可靠及使用方便灵活,大大简化了脉宽调制器的设计及调试,故获得广泛使用。

PWM系统在很多方面具有较大的优越性 :

1)PWM调速系统主电路线路简单,需用的功率器件少。

2)开关频率高,电流容易连续,谐波少,电机损耗及发热都较小。 3)低速性能好,稳速精度高,调速范围广,可达到1:10000左右。

4)如果可以与快速响应的电动机配合,则系统频带宽,动态响应快,动态抗扰能力强。

5)功率开关器件工作在开关状态,导通损耗小,当开关频率适当时,开关损耗不大,因而装置效率较高。

6)直流电源采用不可控整流时电网功率因数比相控整流器高。

- 6 -

辽宁工程技术大学应用技术与经济管理学院毕业设计(论文)

2 元器件的选择

本设计采用的元器件主要包括门极可关断开关元器件,直流电机的选择,驱动模块的选择

2.1 门极可关断器件

随着电力电子技术的发展,新型器件不断涌现,20世纪80年代以来,信息电子技术与电力电子技术在各自发展的基础上相结合,出现了高频化,全控型,采用集成电路制造工艺的电力电子器件,从而将电力电子技术带入了一个崭新的时代。

典型代表有门极可关断晶闸管、电力晶体管(GTR)、电力场效应管(Power-MOSFIT)、绝缘栅双极型晶体管(IGBT)以及智能功率模块等多种新型电力电子器件。这些器件通过对基极(门极,栅极)的控制,既能控制其导通,有能控制其关短,因此称其为全控器件,也称为自关断器件,和普通晶闸管相比,这种器件可应用在多种场合,控制灵活,电路简单,能耗小,使电力电子技术的应用范围大为拓宽。

2.1.1 几种常见的门极可关断器件

常见的门极可关断器件有如下几种 Ⅰ 门极可关断晶闸管(GTO)

GTO和普通晶闸管一样,是PNPN四层半导体结构,外部也是引出阳极,阴极和门极。但和普通晶闸管不同的是,GTO是一种多元的功率集成器件。虽然外部同样引出三个极,但内部包含数十个甚至数百个共阳极的小GTO单元,这些GTO单元的阴极和门极在器件内部并联,他是为了实现门极控制关断而设计的。

1)在设计器件时使a2较大,这样晶体管V2控制灵敏,这样GTO可以很容易关断。 2)使得a1+a2趋向与1,普通晶闸管a1+a2>=1.15,而GTO的近似为1.05,这样GTO导通时饱和程度不深,更接近与临界饱和,为门极可关断控制提供了有力条件。不利因素,导通是管压降增大了。

3)集成结构中每个GTO单元的阴极面积小,门极和阴极间的距离大为缩短,使得P2基区的横向电阻很小,使门极抽出较大的电流成为可能。

4)它比普通晶闸管开通过程快,承受的电压能力强。 Ⅱ 电力晶体管(GTR)

- 7 -

辽宁工程技术大学应用技术与经济管理学院毕业设计(论文)

电力晶体管按英文Giant Transistor直译为巨型晶体管Giant Transistor——GTR,是一种耐高电压、大电流的双极结型晶体管(Bipolar Junction Transistor—BJT),所以有时也称为Power BJT;其特性有:耐压高,电流大,开关特性好,但驱动电路复杂,驱动功率大;GTR和普通双极结型晶体管的工作原理是一样的。

1)静态特性

共发射极接法时可分为三个工作区:

① 截止区。在截止区内,ib≤0,Ube≤0,Ubc<0,集电极只有漏电流流过。 ② 放大区。ib >0,Ube>0,Ubc<0,ic =βib。

③ 饱和区。ib >Ics/β,Ube>0,Ubc>0,ics是集电极饱和电流,其值由外电路决定。

结论:两个PN结都为正向偏置是饱和的特征。饱和时,集电极、发射极间的管压降Uce很小,相当于开关接通,这时尽管电流很大,但损耗并不大。GTR刚进入饱和时为临界饱和,如ib继续增加,则为过饱和,用作开关时,应工作在深度饱和状态,这有利于降低Uce和减小导通时的损耗。

2)动态特性

GTR在关断时漏电流很小,导通时饱和压降很小。因此,GTR在导通和关断状态下损耗都很小,但在关断和导通的转换过程中,电流和电压都较大,所以开关过程中损耗也较大。当开关频率较高时,开关损耗是总损耗的主要部分。因此,缩短开通和关断时间对降低损耗、提高效率和提高运行可靠性很有意义。

Ⅲ 电力场效应晶体管(MOSFET)

电力MOS场效应管 通常主要指绝缘栅型中MOS(MetalOxideSemiconductor FET),简称电力MOSFET(Power MOSFET) 结型电力场效应晶体管一般称作静电感应晶体管(Static Induction Transistor--SIT)。 是一种单极型的电压控制全控型器件。

主要特点如下:

用栅极电压来控制漏极电流。 输入阻抗高。

驱动电路简单,需要的驱动功率小。 开关速度快,工作频率高。 热稳定性优于GTR。

电流容量小,耐压低,一般只适用于功率不超过10kW的电力电子装置。

- 8 -

辽宁工程技术大学应用技术与经济管理学院毕业设计(论文)

Ⅳ 绝缘栅双极性晶体管(IGBT)

绝缘栅双极型晶体管IGBT是由MOSFET和双极型晶体管复合而成的一种器件,其输入极为MOSFET,输出极为PNP晶体管,因此,可以把其看作是MOS输入的达林顿管。它融和了这两种器件的优点,既具有MOSFET器件驱动简单和快速的优点,又具有双极型器件容量大的优点,因而,在现代电力电子技术中得到了越来越广泛的应用。在中大功率的开关电源装置中,IGBT由于其控制驱动电路简单、工作频率较高、容量较大的特点,已逐步取代晶闸管或GTO。但是在开关电源装置中,由于它工作在高频与高电压、大电流的条件下,使得它容易损坏,另外,电源作为系统的前级,由于受电网波动、雷击等原因的影响使得它所承受的应力更大,故IGBT的可靠性直接关系到电源的可靠性。因而,在选择IGBT时除了要作降额考虑外,对IGBT的保护设计也是电源设计时需要重点考虑的一个环节。

纵观以上三种门极可关断器件:可以得出如下结论

门极可关断晶闸管(GTO)正脉冲触发导通,负脉冲控制关断,对门电路性能要求较为严格,导通时的管压降大;电力晶体管(GTR)流控器件,最高工作频率50KHz以下,工业应用中常用达林顿结构,开关频率在2KHz以下,存在二次击穿现象;场效应晶体管(MOSFET)高速开关型电压控制三端(栅极G、源极S、漏极D)器件,驱动功率小,工作频率高,但是难以控制大电流和高电压,存在导通压降较大,栅极击穿等问题;绝缘栅双极性晶体管(IGBT) 它兼有GTR和MOSFET 的优点,控制功率小,开关速度快,电流处理能力强和饱和压降低等优点。经分析论证决定选IGBT作为电路中的可关断元器件

2.2 IGBT的基本结构与工作原理

2.2.1 基本结构

IGBT的结构、符号及等效电路如图2-1所示:

- 9 -

辽宁工程技术大学应用技术与经济管理学院毕业设计(论文)

图2-1 IGBT的结构、符号及等效电路

图2-1(a )所示是N沟道MOSFET与GTR复合而成——N沟道IGBT(N-IGBT),厚基区GTR为主导器件、MOSFET为驱动器件的复合管;IGBT比MOSFET多一层P+注入区,形成了一个大面积的P+N+结J1;IGBT导通时,由P+注入区向N基区发射少子,从而对漂移区电导率进行调制,使得IGBT具有很强的通流能力;简化等效电路表明,IGBT是GTR与MOSFET组成的达林顿结构,一个由MOSFET驱动的厚基区PNP晶体管;RN为晶体管基区内的调制电阻。 从图中我们还可以看到在集电极和发射极之间存在着一个寄生晶体管,寄生晶体管使IGBT出现电流锁定效应(电流擎住效应)。采用空穴旁路结构并使发射区宽度微细化后可基本上克服寄生晶体管的擎住作用。IGBT的低掺杂N漂移区较宽,因此可以阻断很高的反向电压。

2.2.2 工作原理

驱动原理与电力MOSFET基本相同,属于场控器件,通断由栅射极电压uGE决定 导通:UGE大于开启电压UGE(th)时,MOSFET内形成沟道,为晶体管提供基极电流,IGBT导通。

导通压降:电导调制效应使电阻RN减小,使通态压降减小。

关断:栅射极间施加反压或不加信号时,MOSFET内的沟道消失,晶体管的基极电流被切断,IGBT关断。

IGBT分为N-IGBT和P-IGBT,实际应用中以N沟道IGBT为多,故以下以N-IGBT为例进行介绍。

2.3 IGBT的基本特性与主要参数

- 10 -

辽宁工程技术大学应用技术与经济管理学院毕业设计(论文)

2.3.1 IGBT的基本特性

1)静态特性

a转移特性 b输出特性

图2-2 静态特性

2)IGBT的动态特性(开关特性如下图2-3所示)

图2-3 IGBT动态特性

a 开通时间ton

ton = td + tr

td —开通延迟时间。

tr—电流上升时间。

b 关断时间t off

- 11 -

辽宁工程技术大学应用技术与经济管理学院毕业设计(论文)

t off = td( off ) + tf

td(off)—关断延迟时间。 tf—电流下降时间。

电流下降时间tf又可分为tfi1和tfi2两段。

tfi1为IGBT内部的MOSFET的关断过程,iC下降较快;

tfi2为IGBT内部的PNP晶体管的关断过程,此时MOSFET以关断。

2.3.2 IGBT的主要参数

1) 最大集射极间电压UCES :由内部PNP管的击穿电压确定的。 2)开启电压UT和最大栅射极电压UGES :

开启电压UT使IGBT导通所需的最小栅-射极电压,在25℃的条件下,UT一般为2V~6V。

UGES限制在±20V以内,最佳值一般为15V左右。

3) 通态压降UCE(on) :IGBT处于导通状态时,集电极与发射极间的导通压降。压降越小越好。一般为 2.5V~3.5V之间。

4) 集电极连续电流IC和峰值电流ICP:

在额定的测试温度(壳温为25℃)条件下, IC是IGBT所允许的集电极最大连续电流,也是额定电流。峰值电流ICP(脉宽1ms)为IC的2倍左右。

2.3.3 IGBT的特性和参数特点

1)开关速度高,开关损耗小。在电压1000V以上时,开关损耗只是GTR的1/10,与电力MOSFET相当。

2)相同电压和相同电流定额时,安全工作区比GTR大,且有耐脉冲电流冲击能力。 3)通态压降比VDMOSFET低,特别是在电流较大的区域。 4)输入阻抗高,输入特性与MOSFET类似。

5)与MOSFET和GTR相比,耐压能力和通流能力还可进一步提高,同时还保持了开关频率高的特点.

2.4 注意事项与IGBT的保护

2.4.1 注意事项

1)IGBT的控制、驱动及保护电路应与其高速开关特性相匹配。 2)当G、E端在开路的情况下,不要给C、E端加电压。

- 12 -

辽宁工程技术大学应用技术与经济管理学院毕业设计(论文)

3)在没有适当的防静电措施情况下,G、E端不能开路。

2.4.2 IGBT的保护

1) 在IGBT器件上设置的保护,栅源过电压保护、静电保护、采用R、C、VD缓冲电路等。

2)在IGBT电控系统中设置的保护、过压、欠压、过流和过热保护单元。IGBT不发生擎住效应。

设计中选的IGBT管的型号是IRGPC50U,它的参数如下: 管子类型:NMOS场效应管 极限电压Vm:600V 极限电流Im:27 A 耗散功率P:250 mW 额定电压U:220V 额定电流I:10A

2.5 直流电动机及驱动芯片的选择

2.5.1 直流电动机的选择

型号:DJ15 功率:485W 电枢电压:220V 电枢电流:1.2A 额定转数:1600r/m 调速范围:1:1200

起动时超调量:电流超调量:?i?5%;转速超调量: ?n?5%

2.5.2 驱动模块的选择

本设计采用了IGBT专用驱动芯片SG3525

- 13 -

辽宁工程技术大学应用技术与经济管理学院毕业设计(论文)

3 PWM调速直流调速系统的主电路

PWM直流调速系统的主电路包括直流电源的设计,PWM控制直流调速系统的设计。

3.1 直流电源的设计

能得到直流电源的方法有很多,比如可以用蓄电池供电,交流电通过单相半波整流电路,单相桥式整流电路以及三相桥式整流电路。相比之下,用蓄电池供电投资大,性价比极低;

单项桥式整流电路和单项半波整理电路相比三相桥式整流电路而言,三相桥式的波形更接近于理想直流,平滑性较好,而且可以使三相供电电源负载对称,减少了因负载不对称造成对电网的污染。

3.1.1 三相桥式整流电路

整流电路的功能是将交流电转换为直流电。直流电路按照输入交流电源的是否可控分为可控整流电路和不可控整流电路,单相可控整流电路适用于小功率的负载,对大功率的负载(负载容量超过4KW),如果采用单相桥式整流的话,必然会造成负荷不平衡,影响电网的供电质量,本设计采用三相可控整流电路,如图3-1其中这里采用了电感电容滤波电路。电路组成如下:

图3-1三相桥式整流及滤波电路

三相全控桥式整流电路中共阴极接法(D1,D3,D5)和共阳极接法(D4,D6,D2)的控

- 14 -

辽宁工程技术大学应用技术与经济管理学院毕业设计(论文)

制角α分别与三相半波可控整流电路共阴极接法和共阳极接法相同。在一个周期内,晶闸管的导通顺序为D1、D2、D3、D4、D5、D6。

仿真电路如图3-2所示(其中示波器中的直线为滤波后的特性,非直线为滤波前的特性

a滤波前的波形

b滤波后的波形

图3-2 三相桥式整流滤波电路

由示波器图可以看出滤波后的曲线更趋近于理想直流,更适合PWM 变频调速使用。

- 15 -

辽宁工程技术大学应用技术与经济管理学院毕业设计(论文)

由于三相桥式整流电路则输出电压为Ud=2.34*Ui=2.34*94=220V

3.2 PWM直流调速系统的主电路的设计

PWM控制直流调速系统主要包括门极可关断晶体管IGBT,通过调节每个晶体管的导通的占空比来达到调压调速的目的。

3.2.1 PWM变换器的介绍

脉宽调速系统的主要电路采用脉宽调制式变换器,简称PWM变换器。PWM变换器分为不可逆和可逆两类,可逆变换器又有双极式、单极式和受限单极式等多种电路。下面分别对各种形式的PWM变换器做一下简要的介绍和分析。

不可逆PWM变换器分为有制动作用和无制动作用两种。图3-3(a)所示为无制动作用的简单不可逆PWM变换器主电路原理图,其开关器件采用全控型的电力电子器件。电源电压Us一般由交流电网经不可控整流电路提供。电容C的作用是滤波,二极管VD在电力晶体管VT关断时为电动机电枢回路提供释放电储能的续流回路。

图3-3 简单的不可逆PWM变换器电路

(a)原理图 (b)电压和电流波型

电力晶体管VT的基极由频率为f,其脉冲宽度可调的脉冲电压Ub驱动。在一个开关周期T内,当0?t?ton时,Ub为正,VT饱和导通,电源电压通过VT加到电动机电枢两端;当ton?t?T时,Ub为负,VT截止,电枢失去电源,经二极管VD续流。电动机电枢两端的平均电压为Ud?tonUs??Us T??式中,

Udton?的变化范围在0~?——PWM电压的占空比,又称负载电压系数。

U5T1之间,改变,?即可以实现对电动机转速的调节。

- 16 -

辽宁工程技术大学应用技术与经济管理学院毕业设计(论文)

图3-3(b)绘出了稳态时电动机电枢的脉冲端电压ud、平均电压Ud和电枢电流id的波型。由图可见,电流是id脉动的,其平均值等于负载电流Idl?TL/Cm(TL——负载转矩, Cm——直流电动机在额定磁通下的转矩电流比)。

由于VT在一个周期内具有开关两种状态,电路电压平衡方程式也分为两阶段,即 在0?t?ton期间 U5?Rid?L在ton?t?T期间 0?Rid?Ldid?E dtdid?E dt式中,R,L——电动机电枢回路的总电阻和总电感;E——电动机的反电动势。 PWM调速系统的开关频率都较高,至少是1~4kHz,因此电流的脉动幅值不会很大,再影响到转速n和反电动势E的波动就更小,在分析时可以忽略不计,视 n和E为恒值。

这种简单不可逆PWM电路中电动机的电枢电流iD不能反向,因此系统没有制动作用,只能做单向限运行,这种电路又称为“受限式”不可逆PWM电路。这种PWM调速系统,空载或轻载下可能出现电流断续现象,系统的静、动态性能均差。

图3-4(a)所示为具有制动作用的不可逆PWM变换电路,该电路设置了两个电力晶体管VT1和VT2,形成两者交替开关的电路,提供了反向电流的?id通路。这种电路组成的PWM调速系统可在第I、II两个象限中运行。

VT1和VT2的基极驱动信号电压大小相等,极性相反,即Ub1??Ub2。当电动机工作在电动状态时,在一个周期内平均电流就为正值,电流id分为两段变化。

在0?t?ton期间,Ub1为正,VT1饱和导通;Ub2为负,VT2截止。此时,电源电压

U5加到电动机电枢两端,电流id沿图中的回路1流通。在ton?t?T期间,Ub1和Ub2改变极性,VT1截止,原方向的电流id沿回路2经二极管VD2续流,在VD2两端产生的压降给VT2施加反压,使VT2不可能导通。因此,电动机工作在电动状态时,一般情况下实际上是电力晶体管VT1和续流二极管VD2交替导通,而VT2则始终不导通,其电压、电流波型如图3-4(b)所示,与图3-3没有VT2的情况完全一样。

如果电动机在电动运行中要降低转速,可将控制电压减小,使Ub1的正脉冲变窄,负脉冲变宽,从而使电动机电枢两端的平均电压Ud降低。但是由于惯性,电动机的转速n和反电动势E来不及立刻变化,因而出现Ud?E的情况。这时电力晶体管VT2能在

- 17 -

辽宁工程技术大学应用技术与经济管理学院毕业设计(论文)

电动机制动中起作用。在ton?t?T期间,VT2在正的Ub2和反电动势E的作用下饱和导通,由E-Ud产生的反向电流?id沿回路3通过VT2流通,产生能耗制动,一部分能量消耗在回路电阻上,一部分转化为磁场能存储在回路电感中,直到t=T为止。在

T?t?T?ton期间,因Ub2变负,VT2截止,?id只能沿回路4经二极管VD1续流,对电源回馈制动,同时在VD1上产生的压降使VT1承受反压而不能导通。在整个制动状态中,VT2和VD1轮流导通,VT1始终截止,此时电动机处于发电状态,电压和电流波型图3-4(c)。反向电流的制动作用使电动机转速下降,直到新的稳态。

图3-4 具有制动作用的不可逆PWM变换电路

这种电路构成的调速系统还存在一种特殊情况,即在电动机的轻载电动状态中,负载电流很小,在VT1关断后(即ton?t?T期间)沿回路2经VD2的续流电流id很快衰减到零,如在图3-4(d)中的ton~T期间的t2时刻。这时VD2两端的压降也降为零,而此时由于Ub2为正,使VT2得以导通,反电动势E经VT2沿回路3流过反向电流?id,产生局部时间的能耗制动作用。到了0?t?ton期间,VT2关断,?id又沿回路4经VD1续流,到t?t4时?id衰减到零,VT1在Ub1作用下因不存在而反压而导通,电枢电流再次改

- 18 -

辽宁工程技术大学应用技术与经济管理学院毕业设计(论文)

变方向为id沿回路1经VT1流通。在一个开关周期内,VT1、VD1、VT2、VD1四个电力电子开关器件轮流导通,其电流波形示图3-4(d)。

综上所述,具有制动作用的不可逆PWM变换器构成的调速系统,电动机电枢回路中的电流始终是连续的;而且,由于电流可以反向,系统可以实现二象限运行,有较好的静、动态性能。

由具有制动作用的不可逆PWM变换器构成的直流调速系统,电动机有两种运行状态,在电动状态下,依靠电力晶体管VT1的开和关两种状态,在发电制动状态下则依靠VT2的开和关两种状态。两种工作状态下电路电压平衡方程式都分为两个阶段,情况同简单的不可逆的PWM变换器电路相同,即在0?t?ton期间为式

U5?Rid?Ldiddi?E,在ton?t?T期间为式 0?Rid?Ld?E,只不过两种状态下电dtdt流的方向相反,即在制动状态时为?id。

可逆PWM变换器主电路的结构形式有T型和H型两种,其基本电路如图3-5所示,图中(a)为T型PWM变换器电路,(b)为H型PWM变换器电路。

图3-5 可逆PWM变换器电路

(a)T型 (b)H型

T型电路由两个可控电力电子器件和与两个续流二极管组成,所用元件少,线路简

- 19 -

辽宁工程技术大学应用技术与经济管理学院毕业设计(论文)

单,构成系统时便于引出反馈,适用于作为电压低于50V的电动机的可控电压源;但是T型电路需要正负对称的双极性直流电源,电路中的电力电子器件要求承受两倍的电源电压,在相同的直流电源电压下,其输出电压的幅值为H型电路的一半。H型电路是实际上广泛应用的可逆PWM变换器电路,它由四个可控电力电子器件(以下以电力晶体管为例)和四个续流二极管组成的桥式电路,这种电路只需要单极性电源,所需电力电子器件的耐压相对较低,但是构成调速系统的电动机电枢两端浮地。

H型变换器电路在控制方式上分为双极式、单极式和受限单极式三种。 (1)双极式可逆PWM变换器:

双极式可逆PWM变换器的主电路如图3-5(b)所示。四个电力晶体管分为两组,VT1和VT4为一组,VT2和VT3为一组。同一组中两个电力晶体管的基极驱动电压波形相同,即Ub1?Ub4,VT1和VT4同时导通和关断;Ub2?Ub3,VT2和VT3同时导通和关断。而且Ub1,Ub4和Ub2,Ub3相位相反,在一个开关周期内VT1,VT4和VT2,VT3两组晶体管交替地导通和关断,变换器输出电压UAB在一个周期内有正负极性变化,这是双极式PWM变换器的特征,也是“双极性”名称的由来。H桥电路中采用了缓冲电路,由电阻和电容组成。 IGBT的缓冲电路功能侧重于开关过程中过电压的吸收与抑制,这是由于IGBT的工作频率可以高达30-50kHz;因此很小的电路电感就可能引起颇大的Ldic,从dt而产生过电压,危及IGBT的安全。逆变器中IGBT开通时出现尖峰电流,其原因是由于在刚导通的IGBT负载电流上叠加了桥臂中互补管上反并联的续流二极管的反向恢复电流,所以在此二极管恢复阻断前,刚导通的IGBT上形成逆变桥臂的瞬时贯穿短路,使ic出现尖峰,为此需要串入抑流电感,即串联缓冲电路,或放大IGBT的容量。

缓冲电路参数:经实验得出缓冲电路电阻R=10K?;电容C?0.75?F。

由于电压UAB极性的变化,使得电枢回路电流的变化存在两种情况,其电压、电流波形如图3-6所示。

- 20 -

辽宁工程技术大学应用技术与经济管理学院毕业设计(论文)

图3-6 双极式PWM变换器电压和电流波形 (a)电动机负载较重时 (b)电动机负载较轻时

如果电动机的负载较重,平均负载电流较大,在0?t?ton时,Ub1和Ub4为正,VT1和VT4饱和导通;而Ub2和Ub3为负,VT2和VT3截止。这时,U5加在电枢AB两端,电枢电流沿id回路1流通(见图3-6(a)),电动机处于电动状态。在ton?t?TUAB?U5,

时,Ub1和Ub4为负,VT1和VT4截止;Ub2和Ub3为正,在电枢电感释放储能的作用下,电枢电流经二极管VD2和VD3续流,在VD2和VD3上的正向压降使VT2和VT3的c-e极承受反压而不能导通,UAB??U5,电枢电流id沿回路2流通,电动机仍处于电动状态。有关参量波形图如图3-6(a)。

如果电动机负载较轻,平均电流较小,在续流阶段电流很快衰减到零,即当t?t2时,于是在t2?t?T时,VT2和VT3的c-e极两端失去反压,并在负的电源电压(?U5)id?0。

和电动机反电动势E的共同作用下导通,电枢电流id反向,沿回路3流通,电动机处于反接制动状态。在T?t?t1(0?t?t1)时,Ub2和Ub3变负,VT2和VT3截止,因电枢电感的作用,电流经VD1和VD4续流,使VT1和VT4的c-e极承受反压,虽然Ub1和Ub2为正,VT1和VT4也不能导通,电流沿回路4流通,电动机工作在制动状态。当t1?t?ton时,VT1和VT4才导通,电流又沿回路1流通。有关参量的波形示于图3-6(b)。

这样看来,双极式可逆PWM变换器与具有制动作用的不可逆PWM变换器的电流波形差不多,主要区别在于电压波形;前者,无论负载是轻还是重,加在电动机电枢两端的电压都在?U5和?U5之间变换;后者的电压只在?U5和0之间变换。这里并未反映出

- 21 -

辽宁工程技术大学应用技术与经济管理学院毕业设计(论文)

“可逆”的作用。实现电动机制可逆运行,由正、负驱动电压的脉冲宽窄而定。当正脉冲较宽时, ton?T/2,电枢两端的平均电压为正,在电动运行时电动机正转;当正脉冲较窄时,ton?T/2,平均电压为负,电动机反转。如果正、负脉冲宽度相等,ton?T/2,平均电压为零,电动机停止运转。因为双极式可逆PWM变换器电动机电枢两端的平均电压为 Ud?12t[tonU5?(T?ton)U5]?(on?1)U5 TT若仍以??Ud/U5来定义PWM电压的占空比,则双极式PWM变换器的电压占空比为

??Ud2ton??1。改变?即可调速,?的变化范围为?1???1。?为正值,电动机正U5T转;?为负值,电动机反转;??0,电动机停止运转。在??0时,电动机虽然不动,但电枢两端的瞬时电压和流过电枢的瞬时电流都不为零,而是交变的。这个交变电流的平均值为零,不产生平均转矩,徒然增加了电动机的损耗,当然是不利的。但是这个交变电流使电动机产生高频微振,可以消除电动机正、反向切换时的静摩擦死区,起着所谓“动力润滑”的作用,有利于快速切换。

(2)单极式可逆PWM变换器:

单极式可逆PWM变换器和双极式变换器在电路构成上完全一样,不同之处在于驱动信号不一样。图3-5(b)中,左边两个电力电子器件的驱动信号Ub1??Ub2,具有和双极式一样的正、负交替的脉冲波形,使VT1和VT2交替导通;右边两个器件VT3、VT4的驱动信号则按电动机的转向施加不同的控制信号:电动机正转时,使Ub3恒为负,Ub4恒为正,VT3截止VT4常通;电动机反转时,则使Ub3恒为正,Ub4恒为负,VT3常通VT4截止。这种驱动信号的变化显然会使不同阶段各电力电子器件的开关情况和电流流通的回路与双极式变换器相比有不同。当电动机负载较重时电流方向连续不变;负载较轻时,电流在一个开关周期内也会变向。

由于本次设计要求电机能实现启动、制动、正反转,并且能进行无极调速等。又根据双极式H型可逆PWM变换器具有的优点:电流一定连续,可以使电动机实现四象限动行;电动机停止时的微振交变电流可以消除静摩擦死区;低速时由于每个电力电子器件的驱动脉冲仍较宽而有利于折可靠导通;低速平稳性好,可达到很宽的调速范围。

但双极式H型可逆PWM变换器也有缺点,在工作过程中,四个电力电子器件都处于开关状态,容易发生上、下两只电力电子器件直通的事故,降低了设备的可靠性。为了避免这种情况,我们设置逻辑延时环节DLD,保证在对一个元件发出关断信号后,延迟

- 22 -

辽宁工程技术大学应用技术与经济管理学院毕业设计(论文)

足够时间再发出对另一个元件的开通信号。由于电力电子的器件的导通时也存在开通时间,因此延迟时间通常大于元件的关断时间即可以了。

所以,本次设计我们选择双极式H型可逆PWM变换器。主电路如图3-7所示。

图3-7 H桥主电路

其中FU是熔断器,当电路出现过电流时使其熔断,它起到保护电路的作用。RC为缓冲电路,它是为缓解电路中产生的过电压而设计的。

- 23 -

辽宁工程技术大学应用技术与经济管理学院毕业设计(论文)

4 PWM控制直流电机控制系统的设计

PWM控制电路主要的作用是给主电路晶体管提供规律性的脉冲的

4.1 PWM信号发生器

PWM信号发生器以集成可调脉宽调制器SG3525为核心构成,他把产生的电压信号送给H桥中的四个IGBT。通过改变电力晶体管基极控制电压的占空比,而达到调速的目的。其控制电路如图4-1所示.

图4-1 PWM控制电路

4.1.1 SG3525芯片的主要特点

SG3525为美国Silicon General公司生产的专用PWM控制集成电路,如图4-2所示。

图4-2 SG3525芯片的内部结构

它采用恒频脉宽调制控制方案,其内部包含有精密基准源、锯齿波振荡器、误差放

- 24 -

辽宁工程技术大学应用技术与经济管理学院毕业设计(论文)

大器、比较器、分频器和保护电路等。调节Ur的大小,在A、B两端可输出两个幅度相等、频率相等、相位相互错开180度、占空比可调的矩形波(即PWM信号)。它适用于各开关电源、斩波器的控制。

输出级采用推挽输出,双通道输出,占空比0-50%可调.每一通道的驱动电流最大值可达200mA,灌拉电流峰值可达500mA。可直接驱动功率MOS管,工作频率高达400KHz,具有欠压锁定、过压保护和软启动振荡器外部同步、死区时间可调、PWM琐存、禁止多脉冲、逐个脉冲关断等功能。该电路由基准电压源、震荡器、误差放大器、PWM比较器与锁存器、分相器、欠压锁定输出驱动级,软启动及关断电路等组成,可正常工作的温度范围是0-700C。基准电压为5.1 V士1%,工作电压范围很宽,为8V到35V.

4.1.2 SG3525各引脚端子功能

SG3525采用16端双列直插DIP封装,各端子功能介绍如下:

1脚:INV. INPUT(反相输入端):误差放大器的反相输入端,该误差放大器的增益标称值为80db,其大小由反馈或输出负载来决定,输出负载可以是纯电阻,也可以是电阻性元件和电容元件的组合。该误差放大器共模输入电压范围是1. 5V-5. 2V。此端通常接到与电源输出电压相连接的电阻分压器上。负反馈控制时,将电源输出电压分压后与基准电压相比较。

2脚:NI. INPUT (同相输入端):此端通常接到基准电压16脚的分压电阻上,取得2. 5V的基准比较电压与INV. INPUT端的取样电压相比较。

3脚:SYNC(同步端):为外同步用。需要多个芯片同步工作时,每个芯片有各自的震荡频率,可以分别他们的4脚和3脚相连,这时所有芯片的工作频率以最快的芯片工作频率同步。也可以使单个芯片以外部时钟频率工作。

4脚:OSC. OUTPUT(同步输出端):同步脉冲输出。作为多个芯片同步工作时使用。但几个芯片的工作频率不能相差太大,同步脉冲频率应比震荡频率低一些。如不需多个芯片同步工作时,3脚和4脚悬空。4脚输出频率为输出脉冲频率的2倍。输出锯齿波电压范围为0. 6V到3. 5V.

5脚:Cr(震荡电容端):震荡电容一端接至5脚,另一端直接接至地端。其取值范围为0.001,u F到0. 1 u F。正常工作时,在Cr两端可以得到一个从0.6V到3. 5V变化的锯齿波。

6脚:Rr(震荡电阻端):震荡电阻一端接至6脚,另一端直接接至地端。Rr的阻值决

- 25 -

辽宁工程技术大学应用技术与经济管理学院毕业设计(论文)

定了内部恒流值对Cr充电。其取值范围为2K欧到150K欧 Rr和Cr越大充电时间越长,反之则充电时间短。

7脚:DISCHATGE RD(放电端):Cr的放电由5. 7两端的死区电阻决定。把充电和放电回路分开,有利与通过死区电阻来调节死区时间,使死区时间调节范围更宽。其取值范围为0欧到500欧。放电电阻RD和CT越大放电时间越长,反之则放电时间短。

8脚:SOFTSTATR(软启动):比较器的反相端即软启动器控制端8,端8可外接软启动电容,该电容由内部Vf的50uA恒流源充电。

9脚:COMPENSATION(补偿端):在误差放大器输出端9脚与误差放大器反相输入端1脚间接电阻与电容,构成PI调节器,补偿系统的幅频、相频响应特性。补偿端工作电压范围为1. 5V到5. 2V.

10脚:SHUTDOWN(关断端):10端为PWM锁存器的一个输入端,一般在10端接入过流检测信号。过流检测信号维持时间长时,软起动端8接的电容C:将被放电。电路正常工作时,该端呈高电平,其电位高于锯齿波的峰值电位(3. 30。在电路异常时,只要脚10电压大于0. 7V,三极管导通,反相端的电压将低于锯齿波的谷底电压(0.9V),使得输出PWM信号关闭,起到保护作用.

11脚:OUTPUT A,14脚: OUTPUT B(脉冲输出端):输出末级采用推挽输出电路,驱动场效应功率管时关断速度更快.11脚和14脚相位相差1800,拉电流和灌电流峰值达200mA。由于存在开闭滞后,使输出和吸收之间出现重迭导通。在重迭处有一个电流尖脉冲,起持续时间约为l00ns。可以在V<处接一个约0. luf的电容滤去电压尖峰。

12脚:GROUND(接地端):该芯片上的所有电压都是相对于GROUND而言,即是功率地也是信号地。在实验电路中,由于接入误差放大器反向输入端的反馈电压也是相对与12脚而言,所以主回路和控制回路的接地端应相连。

13脚:VC(推挽输出电路电压输入端):作为推挽输出级的电压源,提高输出级输出功率。可以和15脚共用一个电源,也可用更高电压的电源。电压范围是1. 8V-3. 4V.

15脚:+VIN(芯片电源端):直流电源从15脚引入分为两路:一路作为内部逻辑和模拟电路的工作电压;另一路送到基准电压稳压器的输入端,产生5.1士1%V的内部基准电压。如果该脚电压低于门限电压(Turn-off: 8V),该芯片内部电路锁定,停止工作‘基准源及必要电路除外)使之消耗的电流降至很小(约2mA).另外,该脚电压最大不能超过35V.使用中应该用电容直接旁路到GROUND端。

16脚:VREF(基准电压端):基准电压端16脚的电压由内部控制在5. 1 V土1%。可

- 26 -

辽宁工程技术大学应用技术与经济管理学院毕业设计(论文)

以分压后作为误差放大器的参考电压。

4.1.3 SG3525工作原理

SG3525内置了5.1V精密基准电源,微调至 1.0%,在误差放大器共模输入电压范围内,无须外接分压电组。SG3525还增加了同步功能,可以工作在主从模式,也可以与外部系统时钟信号同步,为设计提供了极大的灵活性。在CT引脚和Discharge引脚之间加入一个电阻就可以实现对死区时间的调节功能。由于SG3525内部集成了软启动电路,因此只需要一个外接定时电容。

SG3525的软启动接入端(引脚8)上通常接一个5 的软启动电容。上电过程中,由于电容两端的电压不能突变,因此与软启动电容接入端相连的PWM比较器反向输入端处于低电平,PWM比较器输出高电平。此时,PWM琐存器的输出也为高电平,该高电平通过两个或非门加到输出晶体管上,使之无法导通。只有软启动电容充电至其上的电压使引脚8处于高电平时,SG3525才开始工作。由于实际中,基准电压通常是接在误差放大器的同相输入端上,而输出电压的采样电压则加在误差放大器的反相输入端上。当输出电压因输入电压的升高或负载的变化而升高时,误差放大器的输出将减小,这将导致PWM比较器输出为正的时间变长,PWM琐存器输出高电平的时间也变长,因此输出晶体管的导通时间将最终变短,从而使输出电压回落到额定值,实现了稳态。反之亦然。

外接关断信号对输出级和软启动电路都起作用。当Shutdown(引脚10)上的信号为高电平时,PWM琐存器将立即动作,禁止SG3525的输出,同时,软启动电容将开始放电。如果该高电平持续,软启动电容将充分放电,直到关断信号结束,才重新进入软启动过程。注意,Shutdown引脚不能悬空,应通过接地电阻可靠接地,以防止外部干扰信号耦合而影响SG3525的正常工作。

欠电压锁定功能同样作用于输出级和软启动电路。如果输入电压过低,在SG3525的输出被关断同时,软启动电容将开始放电。

此外,SG3525还具有以下功能,即无论因为什么原因造成PWM脉冲中止,输出都将被中止,直到下一个时钟信号到来,PWM琐存器才被复位。

- 27 -

辽宁工程技术大学应用技术与经济管理学院毕业设计(论文)

4.1.4 SG3525外围接线电路

图4-3 SG3525外围电路

其中CT是用来调节输出脉冲的频率的,RT是用来调节脉冲的占空比的。

4.2 IGBT的驱动与电路的过流保护

4.2.1 IGBT的驱动

1) 对驱动电路的要求

a 驱动电路必须可靠,保证有一条低阻值的放电回路,连线尽量要短。

b 用内阻小的驱动源对栅极电容充电、放电,IGBT开通后,栅极驱动源应能提供足够的功率,使IGBT不退出饱合而损坏。

c 驱动电路中的正偏压应在12 ~ 15V,负偏压应在-2 ~ -10V 。 d 驱动电路应与整个控制电路在电位上严格隔离。

e 驱动电路应尽可能简单实用,具有对IGBT的自保护功能,并有较强的抗干扰能力。

f 若大电感负载时,IGBT的关断时间不宜过短,以限制di/dt所形成的尖峰电压,保证IGBT的安全。

2)IGBT驱动电路

- 28 -

辽宁工程技术大学应用技术与经济管理学院毕业设计(论文)

用于MOSFET的驱动电路同样可以用于IGBT。

在用于驱动电动机的逆变器电路中,为使IGBT能够稳定工作,要求IGBT的驱动电路采用正、负偏压双电源的工作方式。为使驱动电路与信号电隔离,应采用抗噪声能力强,信号传输时间短的光耦器件。栅极和发射极的引线应尽量短,栅极驱动电路的输入线应为绞合线。

1) 阻尼滤波驱动电路(如下图4-4所示)

为了抑制输入信号的振荡现象,在栅极和发射极并联一阻尼网络,且基极驱动电路的输入线为绞合线。

图4-4 阻尼滤波驱动电路

2) 光电隔离驱动电路(如下图4-5所示)

驱动电路的输出级采用互补电路的型式以降低驱动源的内阻,同时加速IGBT的关断过程。

图4-5 光电隔离驱动电路

3) 脉冲变压器直接驱动IGBT的电路(如图2-6所示)

- 29 -

辽宁工程技术大学应用技术与经济管理学院毕业设计(论文)

由于是电磁隔离方式,驱动级不需要专门直流电源,简化了电源结构。

图4-6脉冲变压器直接驱动IGBT的电路

综上所述鉴于各方面的考虑,本设计最终选择光电隔离驱动电路

4.2.2 电路的过流保护电路

图4-7 过流保护电路

利用小阻值的电阻R把电流转变为电压后,再用差动放大器进行放大然后将其信号输入到SG3525的10引脚,当电流过大时,R的电压超过电压的整定值后,过电流保护动作,关闭脉冲,从而保护IGBT功率管。回路中的电阻R还有一个作用。即可以用来观察波形,R2的阻值取1Ω,其上的电压波形反映了主回路的电流波形。如图4-7所示

4.3 总设计图

- 30 -

辽宁工程技术大学应用技术与经济管理学院毕业设计(论文)

图4-8 总设计电路图

- 31 -

辽宁工程技术大学应用技术与经济管理学院毕业设计(论文)

5 PWM控制直流电机调速系统的仿真设计

做实验尤其对我们学电气的学生来说特别重要,做实验是大学生学习与实践过程中不和缺少的环节,它对我们深入掌握专业理论知识,提高我们的动手能力,训练创新思维起着举足轻重的作用。因此,对一所大学的评估,实验室的硬件条件是一重要指标。由于各种条件的限制,如投资、时间、空间等,在高级的实验室也会多多少少的给我们做实验带来一些限制。如:实验室不可能为我们配备市场上所有的元器件;不可能将所有的仪器分给每位学生人手一套;不可能在你什么时候想做试验的时候就允许你做实验;不可能提供你所需要的所有设备;不可能提供你所想象出来的所有试验环境;实验室也不可能承担过大的损耗——元器件的损耗,仪器、设备的损耗。因此,实验室有诸多对我们的限制——只能在规定的时间内完成使用规定的元器件、仪器、设备完成规定的试验,这就体现了电路仿真软件对我们的重要。本设计使用的仿真软件是英国Lab Center Electronics公司出版的EDA工具软件Proteus

5.1 软件简介

Proteus软件是英国Lab Center Electronics公司出版的EDA工具软件(该软件中国总代理为广州风标电子技术有限公司)。它不仅具有其它EDA工具软件的仿真功能,还能仿真单片机及外围器件。它是目前比较好的仿真单片机及外围器件的工具。虽然目前国内推广刚起步,但已受到单片机爱好者、从事单片机教学的教师、致力于单片机开发应用的科技工作者的青睐。

5.1.1 工作窗口

双击桌面上的ISIS 6 Professional图标或者单击屏幕左下方的“开始”→“程序”→“Proteus 6 Professional” →“ISIS 6 Professional”,出现如图1-1所示屏幕,表明进入Proteus ISIS集成环境。Proteus ISIS的工作界面是一种标准的Windows界面,如图1-2所示。包括:标题栏、主菜单、标准工具栏、绘图工具栏、状态栏、对象选择按钮、预览对象方位控制按钮、仿真进程控制按钮、预览窗口、对象选择器窗口、图形编辑窗口。

5.1.2 元器件的选择及参数设置

打开isis 6 电击左侧工具栏中的P按钮,添加实验所用到的元器件,拍好版布好线进行元器件的参数设置

- 32 -

辽宁工程技术大学应用技术与经济管理学院毕业设计(论文)

5.2 电路的仿真

本设计是基于脉宽调制技术直流调速系统的设计,采用的驱动芯片SG3525为美国Silicon General公司生产的专用PWM控制集成电路,由于仿真软件上没有此类芯片,则本设计暂时用自己设计的驱动信号脉冲,SG3525芯片的性能可靠,这样做将不会影响成品的可靠性。

5.2.1 ±15V电压源的设计及仿真

±15V电压源的设计主要是针对放大器供电的,本设计采用由由电网电压经降压变压器(次变压器的变比为1:1)再经桥式整流电路整流,电容器滤波,其中电容主要对波形整形和过滤谐波分量设置的,其中电容值小的电容主要是针对高次谐波的过滤,然后经过三段稳压器7815和7915稳压(实际使用时要注意其散热问题),最后再经电容器滤波,电压表变盘显示电压的度数,发光二极管的作用是工作指示灯,即电路工作是指示灯亮。其仿真电路入图5-1

图5-1 ±15V电压源

5.2.2 IGBT驱动电路的设计

本仿真由于在proteus 库中没有SG3525芯片,则用555电路代替如图所示555芯片的电阻的作用是:通过调节电阻值的大小从而调节脉冲的占空比,反相器的作用是为得到相反的两个输出波形,由于从555直接引出的信号较小,则通过负反馈发达器将信号放大从而去驱动桥式电路电路图如5-2所示,波形如图5-3所示。

- 33 -

辽宁工程技术大学应用技术与经济管理学院毕业设计(论文)

图5-2 555驱动电路的设计

图 5-3 555 驱动电路的波形

- 34 -

辽宁工程技术大学应用技术与经济管理学院毕业设计(论文)

5.2.3 主电路的仿真

主电路的仿真分为两种情况:一种是电机的正转如图 5-4,另外一一种是电动机的反转如图5-5.

图5-4电机正转

图5-5 电机反转

- 35 -

辽宁工程技术大学应用技术与经济管理学院毕业设计(论文)

结束语

“PWM控制直流电机调速系统” 的设计 ,是通过三相桥式整流电路提供直流稳压电源的,以SG3525为核心通过调节脉冲的占空来比来实现PWM脉宽调速,通过双极性H桥可逆PWM变换器实现电动机的启动,制动正反转,并能进行无极调速,从而实现直流电机的四象限运行。电动机停止是时的微振交变电流可以消除静摩擦死区,低速平稳性好,可达到很宽的调速范围

PWM控制直流电机调速系统,具有调速简单、调速范围大、精度高、速度平稳、电流脉动小、电机温升低等优点,使调速各项性能指标大为提高。

纵观整个设计,经典部分是已学过的知识,通过毕业设计深入理解了工程设计方法,扩展了知识面,各门课程综合应用,收益颇多,使我对直流调速系统的控制有了更深的认识。但由于理论水平有限,仍有许多不足之处有待解决。

- 36 -

辽宁工程技术大学应用技术与经济管理学院毕业设计(论文)

参考文献

[1]王廷才.变频器原理及应用.第2版.机械工业出版社,2010 [2]夏得砛,翁贻方.自动控制理论.第2版.机械工业出版社,2007 [3]陈伯时.电力拖动自动控制系统.第2版.机械工业出版社,2003 [4]王兆安,黄俊.电力电子技术.第4版.机械工业出版社,2007 [5]杨兴姚.电动机调速的原理及系统.北京水利电力出版社,2003 [6]刘军.孟祥忠.电力拖动自动控制系统.机械工业出版社,2007

[7]王华强. 直流电机调速系统的工程设计方法的探讨. 荆门职业技术学院学报. 2002 [8]吴守箴,藏英杰.电气传动的脉宽调制控制技术[M] .机械工业出版社,1995 [9]工控相关网站

- 37 -

辽宁工程技术大学应用技术与经济管理学院毕业设计(论文)

致谢

首先要感谢我的指导老师—张晓红老师。在她的悉心指导下,我才得以顺利的完成本次毕业设计。她从最初就为我们制定了周密科学的工作任务安排,每次都很认真的查看我们的工作日志完成情况,对于我们的提问也总给予耐心的解答。

我还要感谢同组的同学,跟他们一起讨论相关的课题,使我的思路得以极大的开阔,并能发现自己在某些内容上的欠缺。另外,我也深深的感受到了同学间的互相帮助和友谊。这也是我顺利完成毕业设计的一大动力。

- 38 -