基于VHDL的自动售货机设计 下载本文

附录1

(3)每次交易完成,售货机自动回到等待状态,等待新的交易。 (4)根据相关功能将模块划分为总控模块、二进制译码模块和BCD译码模块。

(5)开始时用户选择商品后进行一次或多次投币,未投币将返回开始状态,每次投币后将自动计算金额,当已投钱币大于选择商品总价时则出货找零至交易结束,否则超时后钱币不足将退币至交易结束。

三、研究步骤、方法及措施

1、选定题目,进行相关资料的查阅,形成初步思路; 2、学习、掌握VHDL硬件描述语言; 3、熟练运用MAX+plusⅡ软件设计基本电路;

4、分别运用VHDL语言完成底层模块的设计和以原理图的方法完成顶层模块的设计;

5、分别对各个模块进行仿真分析与测试;

6、学习学校论文撰写规定,提交毕设论文撰写提纲,根据整个设计过程完成毕业论文的初稿。

四、研究工作进度

1-3 周 课题选定,调研、收集资料,形成初步思路; 4-6 周 建立系统基本结构,对整个程序流程进行规划。 7-10 周 用VHDL语言对各个模块进行程序编写与调试工作。 11-13 周 仿真结果归纳整理,撰写毕业设计论文初稿。 14-16 周 对论文进行修正,完成验收、答辩。

五、主要参考文献

1 姜立东. VHDL语言程序设计及应用. 北京:北京邮电大学出版社,2004

2 李洋. 现代电子设计与创新. 北京:中国电力出版社,2007 3 王金明,周顺. 数字系统设计与VHDL. 北京:电子工业出版社,2010 4 (美)James R, Armstrong F, Gail Gray. VHDL Design Representation and Synthesis. 北京:机械工业出版社,2003.3

39

燕山大学本科生毕业设计(论文)

附录2

燕 山 大 学

本科毕业设计(论文)文献综述

课题名称: 基于VHDL的自动售货机设计 学院(系): 专 业: 学 号: 学生姓名: 指导教师: 完成日期: 2013年03月28日

4 0