毕业论文:基于FPGA的串口设计-精品 下载本文

选题背景

1.3国内外的发展现状、发展趋势及存在的主要问题

通用异步收发器(Universal Asychronous Receiver Transmitter,UART)是雷达中常用的器件类型,多用于全机监测、指令发送接收、状态传递、键盘显示操作以及控制等等。由于许多大的器件供应商不再生产此类军品级产品,因此,就必须另寻途径解决其在雷达中的应用问题。利用可编程器件实现UART功能模块,既可以满足产品类别的需求又可以在使用中根据实际情况增减配置,是我们在设计中值得考虑的一种方法。在嵌入式系统中,嵌入式CPU往往要通过各种串行数据总线与“外界”进行通信。在应用中,异步的串行数据通信用得较多,而通用异步收发器在其中扮演着重要角色:完成数据的串并转换,即把并行数据按照通信波特率转化为通信协议中规定的串行数据流,也可从串行数据流中取出有用数据转变为并行数据。对FPGA芯片来说,该芯片具有静态可重复编程和动态在系统重构的特性,使得硬件功能可以通过软件的编程修改参数来实现,这样不仅从实时性上得到了提高,而且极大提高了电子系统设计的通用性和灵活性,因此,UART接口技术的FPGA实现逐渐运用于现代军事工业。

FPGA是英文 Field Programmable Gate Array的缩写,即现场可编程门阵列, 它是在PAL、GAL、EPLD等可编程器件的基础上进一步发展的产物。它是作为专用集 成电路(ASIC)领域中的一种半定制电路而出现的,既解决了定制电路的不足,又 克服了原有可编程器件门电路数有限的缺点。 FPGA 采用了逻辑单元阵列LCA(Logi c Cell Array)这样一个新概念,内部包括可配置逻辑模块CLB (Configurable Lo gic Block)、输出输入模块IOB(Input Output Block)和内部连线(Interconnec

第7页 (共35页)

基于FPGA的串口设计

t)三个部分。

FPGA的基本特点主要有:

1)采用FPGA设计ASIC电路,用户不需要投片生产,就能得到合用的芯片。 2)FPGA可做其它全定制或半定制ASIC电路的中试样片。 3)FPGA内部有丰富的触发器和I/O引脚。

4)FPGA是ASIC电路中设计周期最短、开发费用最低、风险最小的器件之一。 5)FPGA采用高速CHMOS工艺,功耗低,可以与CMOS、TTL电平兼容。

可以说,FPGA芯片是小批量系统提高系统集成度、可靠性的最佳选择之一。目前FPGA的品种很多,有XILINX的XC系列,TI公司的TPC系列、ALTERA公司的FIEX系等。FPGA是由存放在片内RAM中的程序来设置其工作状态的,因此,工作时需要对片内的RAM进行编程。用户可以根据不同的配置模式,采用不同的编程方式。加电时,FPGA芯片将EPROM中数据读入片内编程RAM中,配置完成后,FPGA进入工作状态。掉电后,FPGA恢复成白片,内部逻辑关系消失,因此,FPGA能够反复使用。FPGA的编程无须专用的FPGA编程器,只须用通用的EPROM、PROM编程器即可。当需要修改FPGA功能时,只需换一片EPROM即可。这样,同一片FPGA,不同的编程数据,可以产生不同的电路功能。因此,FPGA的使用非常灵活。 FPGA有多种配置模式:并行主模式为一片FPGA加一片EPROM的方式;主从模式可以支持一片PROM编程多片FPGA;串行模式可以采用串行PROM编程FPGA;外设模式可以将FPGA作为微处理器的外设,由微处理器对其编程。 FPGA的设计难点: 1)不同时钟域转换

第8页 (共40页)

前言

2)高速电路设计,信号完整性 3)降低功耗

1.4课题研究的指导思想与技术路线

UART(即Universal Asynchronous Receiver Transmitter 通用异步收发器)是一种应用广泛的短距离串行传输接口。UART允许在串行链路上进行全双工的通信。串行外设用到的RS232-C异步串行接口,一般采用专用的集成电路即UART实现。如8250、8251、NS16450等芯片都是常见的UART器件,这类芯片已经相当复杂,有的含有许多辅助的模块(如FIFO),有时我们不需要使用完整的UART的功能和这些辅助功能。或者设计上用到了FPGA/CPLD器件,那么我们就可以将所需要的UART功能集成到FPGA内部。使用Verilog将UART的核心功能集成,从而使整个设计更加紧凑、稳定且可靠。本文设计一利用FPGA实现的通用异步收发器UART,能实现与PC机之间的串口通信。

1.5基于FPGA串口设计的技术要求

(1)设计出能完成串行数据发送和接收的的串口,可实现与PC机的通讯。 (2)设计波特率为9600bit/s

(3)收发数据帧格式定义为:1位起始位,8位数据位和1位停止位。

第9页 (共37页)

基于FPGA的串口设计

第10页40页)

(4)用modelsim仿真

(共