数字逻辑习题和答案1 下载本文

《数字逻辑》习题案例

(计算机科学与技术专业、信息安全专业)

2004年7月

计算机与信息学院、计算机系统结构教研室

1

一、选择题

1.十进制数33的余3码为 。

A. 00110110 B. 110110 C. 01100110 D. 100100 2.二进制小数-0.0110的补码表示为 。

A.0.1010 B.1.1001 C.1.0110 D.1.1010 3.两输入与非门输出为0时,输入应满足 。

A.两个同时为1 B.两个同时为0 C.两个互为相反 D.两个中至少有一个为0 4.某4变量卡诺图中有9个“0”方格7个“1”方格,则相应的标准与或表达式中共有多少个与项 ?

A. 9 B.7 C.16 D.不能确定 5. 下列逻辑函数中,与F?A相等的是 。 ⊙1 (C)F3?A?1 (D)F4?A?0(A)F1?A?1 (B)F2?A

6. 设计一个6进制的同步计数器,需要 个触发器。

(A)3 (B)4 (C)5 (D)6 编码器 (B)半加器 (C)寄存器 (D)译码器

?Qn7. 下列电路中,属于时序逻辑电路的是 。

(A)8. 列电路中,实现逻辑功能Qn?1

CP

1D 的是 。

1J CP

?C1 1K Q

Q

?C1 (A)Q

Q

(B)

0

1N ?C1 Q

CP

1D Q CP

(C) (D) Q

?C1 Q 9. 的输出端可直接相连,实现线与逻辑功能。

(A)与非门 (B)一般TTL门

门 (D)一般CMOS门

(C)集电极开路OC10.以下代码中为无权码的为 。

A. 8421BCD码 B. 5421BCD码 C. 余三码 D. 格雷码 11.以下代码中为恒权码的为 。

A.8421BCD码 B. 5421BCD码 C. 余三码 D. 格雷码 12.一位十六进制数可以用 位二进制数来表示。 A. 1 B. 2 C. 4 D. 16

2

13.十进制数25用8421BCD码表示为 。

A.10 101 B.0010 0101 C.100101 D.10101 14.在一个8位的存储单元中,能够存储的最大无符号整数是 。 A.(256)10 B.(127)10 C.(FF)16 D.(255)10 15.与十进制数(53.5)10等值的数或代码为 。 A.(0101 0011.0101)8421BCD B.(35.8)16 C.(110101.1)2 D.(65.4)8 16.矩形脉冲信号的参数有 。

A.周期 B.占空比 C.脉宽 D.扫描期 17.与八进制数(47.3)

8等值的数为:

A. (100111.011)2 B.(27.6)16 C.(27.3 )16 D. (100111.11) 218. 常用的BCD码有 。

A.奇偶校验码 B.格雷码 C.8421码 D.余三码 19.与模拟电路相比,数字电路主要的优点有 。

A.容易设计 B.通用性强 C.保密性好 D.抗干扰能力强20.n个变量的最小项是 。 A. n个变量的积项,它包含全部n个变量 B. n个变量的和项,它包含全部n个变量

C. 每个变量都以原变量或者反变量的形式出现,且仅出现一次。 D. n个变量的和项,它不包含全部变量。

21.当描述同步时序电路的最简状态表中含有()个状态时,需要两个触发器。 A. 3 B. 4 C. 2 D. 5 22.组合逻辑电路的结构特点,表现为( )。

A.有记忆功能 B.有反馈回路 C.不含记忆元件 D.无反馈回路 23. 以下表达式中符合逻辑运算法则的是 。

A.C·C=C2

B.1+1=10 C.0<1 D.A+1=1 24. 逻辑变量的取值1和0可以表示: 。

A.开关的闭合、断开 B.电位的高、低 C.真与假 D.电流的有、无 25. 当逻辑函数有n个变量时,共有 个变量取值组合? A. n B. 2n C. n2

D. 2n

26. 逻辑函数的表示方法中具有唯一性的是 。

A.真值表 B.表达式 C.逻辑图 D.卡诺图 27.F=AB+BD+CDE+AD= 。

3

A.

AB?D B.

B)(A?B)D C.

(A?D)(B?D) D.(A?D)(B?D)

28.逻辑函数F=A?(A? = 。

A?BA.B B.A C.

D.

A?B

29.求一个逻辑函数F的对偶式,可将F中的 。

A.·”换成“+”,“+”换成“·”

B.原变量换成反变量,反变量换成原变量 C.变量不变

D.常数中“0”换成“1”,“1”换成“0” E.常数不变

30.A+BC= 。

A .A+B B.A+C C.(A+B)(A+C) D.B+C 31.在 输入情况下,“与非”运算的结果是逻辑0。 A.全部输入是0 B.任一输入是0 C.仅一输入是0 D.全部输入是1 32.在 种输入情况下,“或非”运算的结果是逻辑0。

A.全部输入是0 B.全部输入是1 C.任一输入为0,其他输入为1 D.任一输入为1 33. 三态门输出高阻状态时, 是正确的说法。 A.用电压表测量指针不动 B.相当于悬空 C.电压不高不低 D.测量电阻指针不动 34. 以下电路中可以实现“线与”功能的有 。

A.与非门 B.三态输出门 C.集电极开路门 D.漏极开路门 35.以下电路中常用于总线应用的有 。

A.TSL门 B.OC门 C. 漏极开路门 D.CMOS与非门 36.逻辑表达式Y=AB可以用 实现。

A.正或门 B.正非门 C.正与门 D.负或门

37.TTL电路在正逻辑系统中,以下各种输入中 相当于输入逻辑“1”。

A.悬空 B.通过电阻2.7kΩ接电源 C.通过电阻2.7kΩ接地 D.通过电阻510Ω接地

38.对于TTL与非门闲置输入端的处理,可以 。 A.接电源 B.通过电阻3kΩ接电源 C.接地 D.与有用输入端并联

39.要使TTL与非门工作在转折区,可使输入端对地外接电阻RI 。 A.>RON

B.<ROFF C.ROFF<RI<RON D.>ROFF

4

40.三极管作为开关使用时,要提高开关速度,可 。 A.降低饱和深度 B.增加饱和深度 C.采用有源泄放回路 D.采用抗饱和三极管

41.CMOS数字集成电路与TTL数字集成电路相比突出的优点是 。

A.微功耗 B.高速度 C.高抗干扰能力 D.电源范围宽

42.与CT4000系列相对应的国际通用标准型号为 。 A.CT74S肖特基系列 B. CT74LS低功耗肖特基系列 C.CT74L低功耗系列 D. CT74H高速系列

43.N个触发器可以构成能寄存 位二进制数码的寄存器。 A.N-1 B.N C.N+1 D.2N 44.在下列触发器中,有约束条件的是 。 A.主从JK F/F B.主从D F/F

C.同步RS F/F D.边沿D F/F

45.一个触发器可记录一位二进制代码,它有 个稳态。

A.0 B.1 C.2 D.3 E.4 46.存储8位二进制信息要 个触发器。

A.2 B.3 C.4 D.8

47.对于T触发器,若原态Qn=0,欲使新态Qn+1=1,应使输入T= 。

A.0 B.1 C.Q D.

Q

48.对于T触发器,若原态Qn=1,欲使新态Qn+1=1,应使输入T= 。

A.0 B.1 C.Q D.

Q

49.对于D触发器,欲使Qn+1=Qn,应使输入D= 。

A.0 B.1 C.Q D.

Q

50.对于JK触发器,若J=K,则可完成 触发器的逻辑功能。

A.RS B.D C.T D.Tˊ 51.欲使JK触发器按Q

n+1

=Q工作,可使JK触发器的输入端 。 C.J=

n

n

A.J=K=0 B.J=Q,K=

QQ,K=Q D.J=Q,K=0 E.J=0,K=Q

52.欲使JK触发器按Qn+1=QA.J=K=1 B.J=Q,K=53.欲使JK触发器按Q

Q工作,可使JK触发器的输入端 。

Q C.J=,K=Q D.J=Q,K=1 E.J=1,K=Q

n+1

=0工作,可使JK触发器的输入端 。

A.J=K=1 B.J=Q,K=Q C.J=Q,K=1 D.J=0,K=1 E.J=K=1 54.欲使JK触发器按Qn+1=1工作,可使JK触发器的输入端 。

A.J=K=1 B.J=1,K=0 C.J=K=

Q D.J=K=0 E.J=Q,K=0

5

55.欲使D触发器按Q

n+1

=Qn

工作,应使输入D= 。

A.0 B.1 C.Q D.Q 56.下列触发器中,克服了空翻现象的有 。

A.边沿D触发器 B.主从RS触发器 C.同步RS触发器 D.主从JK触发器 57.下列触发器中,没有约束条件的是 。

A.基本RS触发器 B.主从RS触发器 C.同步RS触发器 D.边沿D触发器 58.描述触发器的逻辑功能的方法有 。

A.状态转换真值表 B.特性方程 C.状态转换图 D.状态转换卡诺图

59.为实现将JK触发器转换为D触发器,应使 。

A.J=D,K=

D B. K=D,J=

D C.J=K=D D.J=K=

D

60.边沿式D触发器是一种 稳态电路。

A.无 B.单 C.双 D.多 61.下列表达式中不存在竞争冒险的有 。 A.Y=

B+AB B.Y=AB+

BC C.Y=ABC+AB D.Y=(A+

B)A

D

62.若在编码器中有50个编码对象,则要求输出二进制代码位数为 位。

A.5 B.6 C.10 D.50

63.一个16选一的数据选择器,其地址输入(选择控制输入)端有 个。

A.1 B.2 C.4 D.16 64.下列各函数等式中无冒险现象的函数式有 。 A.

D.

F?BC?AC?AB B.

F?AC?BC?AB C.

F?AC?BC?AB?ABF?BC?AC?AB?AB

F?BC?AC?AB?BC?AB?ACF?AC?AB?BC E.

65.函数,当变量的取值为 时,将出现冒险现象。

A.B=C=1 B.B=C=0 C.A=1,C=0 D.A=0,B=0

66.四选一数据选择器的数据输出Y与数据输入Xi和地址码Ai之间的

逻辑表达式为Y= 。 A.C.

A1A0X0?A1A0X1?A1A0X2?A1A0X3 A1A0X1

B.

A1A0X0

D.

A1A0X3

67.一个8选一数据选择器的数据输入端有 个。 A.1 B.2 C.3 D.4 E.8

6

68.在下列逻辑电路中,不是组合逻辑电路的有 。 A.译码器 B.编码器 C.全加器 D.寄存器 69.八路数据分配器,其地址输入端有 个。 A.1 B.2 C.3 D.4 E.8 70.组合逻辑电路消除竞争冒险的方法有 。

A. 修改逻辑设计 B.在输出端接入滤波电容 C.后级加缓冲电路 D.屏蔽输入信号的尖峰干扰

71.101键盘的编码器输出 位二进制代码。 A.2 B.6 C.7 D.8

72.用三线-八线译码器74LS138实现原码输出的8路数据分配器,应 。 A.STA=1,STB=D,STC=0 B. STA=1,STB=D,STC=D C.

STA=1,

STB=0,

STC=D D.

STA=D,

STB=0,

STC=0

73.以下电路中,加以适当辅助门电路, 适于实现单输出组合逻辑电路。

A.二进制译码器 B.数据选择器 C.数值比较器 D.七段显示译码器

74.用四选一数据选择器实现函数Y=

A1A0?A1A0,应使 。

A.D0=D2=0,D1=D3=1 B.D0=D2=1,D1=D3=0 C.D0=D1=0,D2=D3=1 D.D0=D1=1,D2=D3=0

75.用三线-八线译码器74LS138和辅助门电路实现逻辑函数Y=A2?A2A1,

应 。

A.用与非门,Y=Y0Y1Y4Y5Y6Y7 B.用与门,Y=Y2Y3

C.用或门,Y=Y2?Y3 D.用或门,Y=Y0?Y1?Y4?Y5?Y6?Y

776.同步计数器和异步计数器比较,同步计数器的显著优点是 。 A.工作速度高 B.触发器利用率高 C.电路简单 D.不受时钟CP控制。

77.把一个五进制计数器与一个四进制计数器串联可得到 进制计数器。

A.4 B.5 C.9 D.20 78.下列逻辑电路中为时序逻辑电路的是 。

A.变量译码器 B.加法器 C.数码寄存器 D.数据选择器 79. N个触发器可以构成最大计数长度(进制数)为 的计数器。 A.N B.2N C.N2

D.2

N

7

80. N个触发器可以构成能寄存 位二进制数码的寄存器。 A.N-1 B.N C.N+1 D.2N

81.五个D触发器构成环形计数器,其计数长度为 。 A.5 B.10 C.25 D.32

82.同步时序电路和异步时序电路比较,其差异在于后者 。 A.没有触发器 B.没有统一的时钟脉冲控制 C.没有稳定状态 D.输出只与内部状态有关 83.一位8421BCD码计数器至少需要 个触发器。 A.3 B.4 C.5 D.10

84.欲设计0,1,2,3,4,5,6,7这几个数的计数器,如果设计合理,

采用同步二进制计数器,最少应使用 级触发器。 A.2 B.3 C.4 D.8

85.8位移位寄存器,串行输入时经 个脉冲后,8位数码全部移入寄存器中。

A.1 B.2 C.4 D.8

86.用二进制异步计数器从0做加法,计到十进制数178,则最少需要

个触发器。

A.2 B.6 C.7 D.8 E.10 87.某电视机水平-垂直扫描发生器需要一个分频器将31500HZ的脉冲

转换为60HZ的脉冲,欲构成此分频器至少需要 个触发器。 A.10 B.60 C.525 D.31500

88.某移位寄存器的时钟脉冲频率为100KHZ,欲将存放在该寄存器中的

数左移8位,完成该操作需要 时间。 A.10μS B.80μS C.100μS D.800ms

n?1n?AQ?AB,则JK端的方程89.若用JK触发器来实现特性方程为Q为 。 A.J=AB,K=

A?B B.J=AB,K=

AB C.J=

A?B,K=AB D.J=

AB,K=AB

90.要产生10个顺序脉冲,若用四位双向移位寄存器CT74LS194来实现,需要 片。 A.3 B.4 C.5 D.10

91.若要设计一个脉冲序列为1101001110的序列脉冲发生器,应选用 个触发器。

A.2 B.3 C.4 D.10 92.PROM和PAL的结构是 。

A.PROM的与阵列固定,不可编程

8

B.PROM与阵列、或阵列均不可编程 C.PAL与阵列、或阵列均可编程 D.PAL的与阵列可编程

93.当用专用输出结构的PAL设计时序逻辑电路时,必须还要具备有 。

A.触发器 B.晶体管 C.MOS管 D.电容

94.当用异步I/O输出结构的PAL设计逻辑电路时,它们相当于 。 A. 组合逻辑电路 B.时序逻辑电路 B. C.存储器 D.数模转换器 95.PLD器件的基本结构组成有 。

A. 与阵列 B.或阵列 C.输入缓冲电路 D.输出电路 96.PLD器件的主要优点有 。

A. 便于仿真测试 B.集成密度高 C.可硬件加密 D.可改写 97.GAL的输出电路是 。

A.OLMC B.固定的 C.只可一次编程 D.可重复编程 98.PLD开发系统需要有 。

A.计算机 B.编程器 C.开发软件 D.操作系统 99.只可进行一次编程的可编程器件有 。 A.PAL B.GAL C.PROM D.PLD 100.可重复进行编程的可编程器件有 。

A.PAL B.GAL C.PROM D.ISP-PLD

二、填空题

1. 十六进制数3A.B对应的八进制数是 。 2. 十进制数 7.125对应的二进制数是 。 3. 要使异或门输出为0,必须令两个输入 。 4. n个变量的全部最小项相“或”为 。

5. 逻辑函数F=AB?AB的对偶函数F′=___________________。

6. 一个门电路的输出端所能连接的下一级门电路输入端的个数称为该门电路的 7. 时序逻辑电路中,输出信号仅是当前状态的函数,而与________________无

关的电路称为Moore(摩尔)型时序电路。 8. 一个由n变量构成的最小项有 个相邻最小项 9. 一个8路数据选择器有 个输入选择控制端。 10. 构造一个同步模8计数器需要 个触发器。 11. (48)10 =(_________)16 =(______________)2 。

12. 集成触发器三种结构: 、 的

9

和 。 13. 函数

的反函数 = 。

14. 时序逻辑电路的功能表示方法有: 、 、

和 。 15. N级环形计数器的计数长度是 ,N级扭环计数器的计数长度

是 。 16. 寄存器按照功能不同可分为两类: 寄存器和 寄

存器。 17. 数字电路按照是否有记忆功能通常可分为两

类: 、 。

18. 由四位移位寄存器构成的顺序脉冲发生器可产生 个顺序脉冲

19. 时序逻辑电路按照其触发器是否有统一的时钟控制分为 时序电路和 时序电路。

20. 触发器有 个稳态,存储8位二进制信息要 个触发器. 21. 一个基本RS触发器在正常工作时,它的约束条件是R+S=1,则

它不允许输入S= 且R= 的信号。 22. 触发器有两个互补的输出端

Q、Q,定义触发器的

1状态

为 ,0状态为 ,可见触发器的状态指的是 端的状态.

23. 一个基本RS触发器在正常工作时,不允许输入R=S=1的信号,因此它的约束条件是 。

24. 在一个CP脉冲作用下,引起触发器两次或多次翻转的现象称为

触发器的 ,触发方式为 式或 式的触发器不会出现这种现象.

25. 逻辑代数又称为 代数。最基本的逻辑关系有 、 、

三种。常用的几种导出的逻为 、 、 、 、 。

26. 逻辑函数的常用表示方法有 、 、 。

27. 逻辑代数中与普通代数相似的定律有 、 、 。摩根定律又称为 。

28. 逻辑代数的三个重要规则是 、 、 。 29. 逻辑函数F=A+B+CD的反函数F= 。 30. 逻辑函数F=A(B+C)·1的对偶函数是 。

31. 添加项公式AB+AC+BC=AB+AC的对偶式为 。 32. 逻辑函数F=ABCD+A+B+C+D= 。

= 。

33. 逻辑函数F=AB?AB?AB?AB34. 已知函数的对偶式为AB+CD?BC,则它的原函数为 。

10

35. 同一逻辑函数的两种逻辑表达式中的最大项 Mi 与最小项 mi 之间的关系有

Mi=___ , Mi+mi=___。 36. 多变量异或运算时,若

或偶)

37. 七段译码器的输入是 ____ 码 。

38. 在多路复用器中, s 个输入用于选择 N 个数据源,则 s= ____

39. 带使能输入的 ____ 可以用做多路分配器。

40. 若 JK 触发器 Q*=Q' ,则输入( J , K ) = _____ 。如果用 D 触发器完成相

同功能,则 D= _____ 。

41. 时序电路又被称作有限状态机,并且可以进一步划分为 _____ 机和 _____ 机。 42. ____方程将触发器的下一状态定义为触发器当前状态和输入的函数。 43. 一个具有 n 个触发器的机器中,状态的总数为 _____ 。 44. 设计一个模 65 的同步计数器,至少需要 _____ 个触发器. 45. 产生序列 11101000 ,至少需要 _____ 个触发器。

46. 在状态图中,只要包含有 _____ 的时序电路都可称为计数器.

47. 一个 _____ 触发器就是一个一位的二进制计数器。 48. 已知m序列信号发生器的反馈函数f(Q)=Q3? Q4,则其循环长度(序列长度)S= 。 49. F(A,B,C,D)=1,其最小项表达式F=Σm(______________)。 50. 函数

*

,则 Xi=1 的个数必为 ___数。(奇

,其反函数=_______________;对偶式

F=____________。

51. RAM与ROM的区别是 。

52. 动态存储单元为不丢失信息,必须 。 53. 将D触发器的D端连在

端上,假设Q(t)=0,则经过100个脉冲作用后,它的

次态Q(t+100)为_________________________。

54. 已知一个最长线性序列码发生器的反馈函数是F(Q)=Q5Q6,试求:序列码的长度

S= ;需用触发器的个数N= 。 55. RAM的优点是__________,___________;缺点是___________,它是______存储器。 56. 由于R-S触发器有_________个稳态,因此它可记录_________________位二进制

码。若存储一字节二进制信息,需要_____________个触发器。 57. 组合电路与时序电路的主要区别: 。 58. PAL的与阵列_____编程,或阵列_____编程。

59. 将逻辑函数F(A , B)?A?B化成最小项之和的标准形式:

F(A , B)??m(i= )。

i60. 三态门的输出端有三种可能出现的状态: 、 和 。 61. 写出T触发器的特征方程: 。

62. 衡量存储器性能的两个重要指标是 和 。

63. 与模拟信号相比,数字信号的特点是它的 性。一个数字信号只有 种 取值分别表示为 和 。

64. 布尔代数中有三种最基本运算: 、 和 ,在此基础上又

派生出四种基本运算,分别为 、 、 和 。

11

65. EPROM是 可编程只读存储器,EEPROM是 可编程只读存储器。 66. FPGA是指 ,它是一种 密度的可编程逻辑器件。 67. GAL是指 ,ISP是指 。

68. 函数式F=AB+BC+CD 写成最小项之和的形式结果应为∑m ( ),

写成最大项之积的形式结果应为∏M( )。 69. 判断下列逻辑运算说法是否正确。 (1) 若X+Y=X+Z,则Y=Z;( ) (2) 若XY=XZ,则Y=Z;( )

(3) 若X⊕ Y=X⊕ Z,则Y=Z;( )

70. 组合逻辑电路的特点是任意时刻的 状态仅取决于该时刻的

状态,而与信号作用前电路的状态 。

71. 组合逻辑电路在结构上不存在输出到输入的 , 因此 状态不影 响 状态。

72. 数据分配器的结构与 相反,它是一种 输入, 输出的逻辑电路。从哪一路输出取决于 。

73. 一个十六路数据选择器,其地址输入端有 个。

74. 设A0、A1 为四选一数据选择器的地址码,X0~X3 为数据输入,Y 为数据输出,

则输出Y 与数据输入和地址码的关系为 。

75.描述触发器的逻辑功能的方法有 ; ; ;

76. 将基本RS 触发器的S 和Q、R 和Q端相连成新的触发器,其特征方程是 。 77. 若D 触发器的D 端连在Q端上,经100 个脉冲作用后,其次态为0,则现为 。 78. SD 和RD 为触发器的异步置1 和置0 端,若触发器异步置0,须使SD= ,

RD= ,而与 和 无关。

79. 对于JK 触发器,若J=K,则可完成 触发器的逻辑功能;若K = J,

则可完成 触发器的逻辑功能。 80. 时序逻辑电路一般由 和 两步分组成的。时序逻辑电路的特点是

某一时刻的 状态不仅取决于该时刻的 ,而且与信号作用前电路的状态 。

81. 时序逻辑电路在结构上存在输出到输入的 ,因此, 状态会

影响 状态。 82. 时序逻辑电路分为两类: 和 。其中 有一个统一

的时钟脉冲源,存储电路里所有 的状态变化,都在同一个时钟脉冲CP 作用下同时发生;而 没有统一的时钟脉冲。

83. 时序逻辑电路中的存储电路受时钟控制的 组成。

84. 同步时序逻辑电路中,所有触发器状态的变化都是在 操作下

进行的;异步时序逻辑电路中,各触发器的时钟信号 ,因而触发器

状态的变化并不都是 发生的,而是 。 85. 全面描述一时序逻辑电路的功能, 必须使用三个方程式。它们

是 、 、 .

86. 为了把时序电路的逻辑功能直观、形象地显示出来,有时需要把有输出方程、状态

方程和控制方程表示的逻辑关系表示成 、 、或 的形式。

87. 触发器有 个稳定状态,它可以记录 位二进制码,存储8 位二进

制信息需要 个触发器。

12

88. 用来表示时序电路状态转换规律的输入、输出关系的有向图称为 ,计数器

中有效状态的数目称为计数器的 。

89. 模为2 的正整数次幂的二进制递增计数器,若从其反向输出端Q输出,则得同模 计数器。

90. 计数器的功能是 ,它是用电路的 来表示计数值。计数器的模是

指 。

91. 按计数进位制计数器可分为 和 两类。按进位方式计数器可分为 和 两类。按逻辑功能计数器可分为 、 和 等 92. 在各种寄存器中,存放N 位二进制数码需要 个触发器。 93. 用反馈移位寄存器产生11101000 序列,至少需要 个触发器。 94. n 级反馈移位寄存器的状态数是 。

95. 有一个移位寄存器,高位在左,低位在右,欲将存放在该移位寄存器中的二进制数

乘上十进制数4,则需将该移位寄存器中的数左移 位,需要 个移位脉冲。

96. 逻辑系统包括 、 和 三部分组成。

97. 将一个包含有32768 个基本单元的存储电路设计成4096 个字节的RAM,则该RAM 有 根数据线, 根地址线。

98. 有一个容量为256× 4 位的RAM,该RAM 有 个基本存储单元,该RAM 每次

访问 个基本存储单元,该RAM 有 根地址线。 99. 将一个包含有16384 个基本单元的存储电路设计设计成8 位为一个字节的

ROM,该ROM 有 个地址, 个数据读出线。 100. 半导体存储器从存取功能上可以分为 存储器和 存储器。存储

器容量的扩展方式有 和 两种。

三、综合题。

1、用卡诺图法化简下列各式。

2、利用与非门实现下列函数,并画出逻辑图。

3、分析下图所示的逻辑电路,写出表达式并进行简化。

4、分析下图所示的逻辑电路,写出表达式并进行简化。

13

5、分析下图所示逻辑电路,其中S3、S2、S1、S0为控制输入端,列出真值表,说明F与 A、B 的关系。

6、分析下图所示逻辑电路,列出真值表,说明其逻辑功能。

7、右图所示为数据总线上的一种判零电路,写出F的逻辑表达式,说明该电路的逻辑功能。

14

8、分析下图所示逻辑电路,列出真值表,说明其逻辑关系。

9、下图所示为两种十进制数代码转换器,输入为余三码,问:输出为什么代码?

10、下图是一个受 M 控制的4位二进制码和格雷码的相互转换电路。 M=1 时,完成自然二进制码至格雷码转换; M=0 时,完成相反转换。请说明之。

15

11、在有原变量又有反变量的输入条件下,用与非门设计实现下列函数的组合电路:

12、设输入既有原变量又有反变量,用与非门设计实现下列函数的多输出电路。

13、设输入既有原变量又有反变量,用或非门设计实现下列函数的组合电路:

14、设输入只有原变量而无反变量,试用最少的三级与非门实现下列函数:

15、设输入只有原变量没有反变量,试用或非门实现下列函数组合电路:

16、已知输入信号A,B,C,D的波形如下图所示,选择适当的集成逻辑门电路,设计产生输出 F 波形的组合电路(输入无反变量)。

16

17、用红、黄、绿三个指示灯表示三台设备的工作情况:绿灯亮表示全部正常;红灯 亮表示有一台不正常;黄灯亮表示有两台不正常;红、黄灯全亮表示三台都不正常。列出控制电路真值表,并选出合适的集成电路来实现。 18、用八选一数据选择器实现下列函数:

19、用两片双四选一数据选择器和与非门实现循环码至8421BCD码转换。 20、设计二进制码/格雷码转换器。输入为二进制码B3B2B1B0,输出为格雷码,EN为使能端,EN=0时执行二进制码→格雷码转换; EN=1时输出为高阻。

21、设计一个以10为模的补码产生器。N为0~9中的一个数符,C为N的补码,N和C均为8421BCD码,EN为使能端。

22、设计一个血型配比指示器。输血时供血者和受血者的血型配对情况如图所示。要求供血者血型和受血者血型符合要求时绿灯亮;反之,红灯亮。

23、下图是一种两拍工作寄存器的逻辑图,即每次在输入数据之前必须先置“清0”信号,然后接收控制信号有效,此时将数据存入寄存器。

(1)若不按两拍方式工作,即取消“清0”信号,则当D2D1D0=100→001→010时,输出Q2Q1Q0将如何变化?

(2)为使电路正常工作,“清0”信号与“接收控制”信号应如何配合?画出这两种信号的正确时间关系。

(3)若采用单拍方式工作,提出寄存器的改进方案。

17

24、分析下图所示同步计数电路,作出状态转移表和状态图,并画出在时钟作用下各触发器输出的波形。

25、用D触发器构成按循环码(000→001→011→111→101→100→000)规律工作的六进制同步计数器。

26、分析下图所示同步时序逻辑电路,作出状态转移表和状态图,说明它是Mealy型电路还是Moore型电路以及电路的功能。

27、分析下图所示同步时序逻辑电路,作出状态转移表和状态图,说明这个电路能对何种序列进行检测?

28、作“101”序列信号检测器的状态表,凡收到输入序列101时,输出为 1 ;并规定检测的101序列不重叠。

29、同步时序电路对串行二进制输入进行奇偶校验,每检测5位输入,输出一个结果:当5位输入中 1 的数目为奇数时,在最后一位的时刻输出 1 。作出状态图和状态表。 30、某时序机状态图如下所示,用“计数器法”设计该电路。

18

31、用EPROM实现下列多输出函数,画出阵列图。

32、试用EPROM实现8421 BCD码至余三码的转换。 33、用FPLA实现下列多输出函数,画出阵列图。 34、用FPLA实现下列多输出函数,画出阵列图。

35、用时序FPLA设计一个循环码十进制计数器。要求设计优化,即FPLA的面积尽可能小。 36、用卡诺图化简逻辑函数:F(A,B,C,D)=∑m(2,3,9,11,12)+∑d(5,6,7,8, 10,13) ,求出最简“与-或”表达式和最简“或-与”表达式。 37、用卡诺图化简逻辑函数:38、证明:如果

(用代数法)。

,且则A = B。

39、设计一个“001/010”序列检测器。该电路有一个输入x和一个输出Z,当随机输入信号中出现“001”或者“010”时,输出Z为1,平时输出Z为0。

典型的输入、输出序列如下:

x: Z:

1 0

0 0

0 0

1 1

0 0

1 0

0 1

0 0

1 0

1 0

请给出该Mealy电路的原始状态图和原始状态表。

40、设计一个巴克码信号发生器,要求自动产生周期性的1110010的信号序列,要求用D触发器和逻辑门来实现。(15分)

1110010,1110010,1110010,1110010 41、设计1110序列检测器的状态转换图,并求出最简状态转换表。

19

习题案例答案

一、选择题

1.C 2.D 3.A 4.B 26. AD 27. AC 28. A 29. ACD 51.ABDE 52.ACDE 53.BCD 54.BCE 76. A 77. D 78. C 79. D 5. B 6. A

7.

C

8. A 9. C 10.CD 11.AB 12.C 13.B 14.CD 15.ABCD 16.ABC 17.AB 18.CD 19.BCD 20.AC 21.AB 22.CD 23.D 24. ABCD 25. D

30. C 31. D 32. BCD 33. ABD 34. CD 35. A

36. CD 37. ABC 38. ABD 39. C 40. ACD 41. ACD 42. B 43. B 44. C 45. C

46. D 47.BD 48.AD 49.C 50.C

55.D

56.ABD 57.D 58.ABCD 59.A 60.C

61. CD 62. B 63.C 64.D 65.ACD 66.A 67.E 68.D 69.C 70.AB

71.C 72.ABC 73.AB 74.A 75.AB

80. B

81. A 82. B 83. D 86. A 87. B 88. AB 89. A

91. C 92. AD 93. A 94.A 95.ABCD 96.ABCD 97. AD 98.ABCD 99. AC 100.BD

20

二、填空题

1. 72.56 2. 111.001 3. 相同 4. 1

5.(A?B)(A?B)

6. 扇出系数 7. 输入信号 8. n 9. 3 10.3

11.30,110000

12. 主从结构,边沿结构,维持-阻塞结构 13.

14. 状态表、状态图和次态表达式和输出函数。 15. N, 2N

16. 移位 数码

17. 组合逻辑电路 时序逻辑电路 18. 4 19.同步 异步 20 2 8 21.0 0

22.Q=1、Q=0 Q=0、Q=1 Q 23.RS=0

24.空翻 主从式 边沿

25.布尔 与 或 非 与非 或非 与或非 同或26.逻辑表达式 真值表 逻辑图

27.交换律 分配律 结合律 反演定律 28.代入规则 对偶规则 反演规则 29.AB(C+D) 30.A+BC+0

31.(A+B)(A+C)(B+C)=(A+B)(A+C) 32.1 33.0 34.A?B?(C?D)?(B?C)

35 . mi' 、 1 36. 偶

异或21

37. 4 位 BCD

38. log 2 N ,

39. 二进制译码器 40. ( 1 , 1 )、 Q' 41. Mealy 、 Moore , 42. 特征 43. 2 n 44. 7 45. 3 46. 一个循环 47. T

48. 15 49. 0,1,,2,??,15 50.

=

;对偶式F*=

51 RAM中的信息既可读出又可写入,掉电后信息便消失;而ROM中的信息只能读出,不能写入,信息可永久保存 52 定期刷新 53. 0

54. 63, 6

55. 读写方便,使用灵活;断电后原存于RAM的信息丢失,易失性 56. 2, 1, 8 57. 有无记忆功能

58.与阵列可编程,或阵列不可编程 59. 1,2

60. 高电平 低电平 高阻 61. Qn?1?Q?T

62. 存储量 存取速度 63. 离散,1,0

64. 与,或,非,与非,与或,或非,与或非

65.一次,多次

66. 现场可编程门阵列

67 通用逻辑阵列 在系统编程 68.

∑m (16 , 14 , 13 , 12 , 11 , 7 , 6 , 3), ∑M (10 , 9 , 8 ,

5 , 4 , 2 , 1) 69. × ,× ,√ 70. 输出,输入,无关

71. 反馈回路,输出,输入

72. 数据选择器,一路,多路,地址控制器 73. 四

74

22

75. 真值表,特征方程,状态转换图

76.

77. 0

78. 1,

0,输入信号,时钟脉冲

79. T,D

80.组合逻辑,存储电路,输出,输入,有关

81. 反馈回路,原来的输出,后来的输出

82. 同步时序电路,异步时序电路,同步时序电路,触发器,异步时序电路 83. 触发器

84. 同一个时钟信号,同时,不同,同时,有先有后 85. 输出方程,状态防城,控制方程 86. 状态转换表,状态转换图,控制方程 87. 两,1,8 88. 状态转换图,模 89. 二进制递减

90. 对输入脉冲进行计数,不同状态,能计算脉冲数目的最大值

91. 二进制,非二进制,同步计数器,异步计数器,加法计数器,减法计数器,可逆计数器 92. N 93. 3

94. 2n 95. 2,2

96.存储部件 处理部件 控制部件 97. 8,12 98. 1024,4,8 99. 2048,8

100. ROM,RAM,字线扩展,位线扩展

23

三、综合题。

1、解答:

2、解答:

3、解答:

24

4、解答:

5、解答:

6、解答:

7、解答:

25

只有当变量A0~A15全为0时,F = 1;否则,F = 0。因此,电路的功能是判断变量是否全部为逻辑“0”。

8、解答:

这是一个四选一的数据选择器。

9、解答:这是一个余三码 至8421BCD 码转换的电路。

26

10、解答:

由真值表可知:M=1 时,完成8421 BCD码到格雷码的转换; M=0 时,完成格雷码到8421 BCD码的转换。

27

11、解答:

12、解答:

13、解答:(1)

(2)

28

14、解答:(1)

(2)

15、解答:(1)

29

(2)

16、解答:真值表:

卡诺图:

表达式:

30

17、解答:设:三台设备分别为 A、B、C: “1”表示有故障,“0”表示无故障;红、黄、绿灯分别为Y1、Y2、Y3:“1”表示灯亮;“0”表示灯灭。据题意列出真值表如下:

表达式:

18、解答:(1)选BCD 为地址,则

D0=D4=D5=1,D6=A,D1=D2=D3=D7=0如图所示。

(2)选BCD 为地址,则D0=D3=D5=D6=1, D1=D2=D4=D7=0如图所示。

31

19、解答:画函数卡诺图;

写逻辑函数表达式:

画逻辑图:

32

20、解答:真值表:

卡诺图:

表达式:

电路图:

33

21、解答:真值表:

卡诺图:

表达式:

22、解答:

真值表:

34

表达式:

23、解答:(1)设触发器初始状态为0。当D2D1D0=100→001→010时,输出Q2Q1Q0将为100→101→111。 (2)

(3)若采用RS触发器。有以下两种方案:

若采用D触发器作为寄存器,只要将数据接触发器的 D输入端,接收控制信号接时钟端,

此时无需事先清0。

35

24、解答:先写出激励方程,然后求得状态方程:

25、解答:先写出激励方程,然后求得状态方程:

36

26、解答:电路的状态方程和输出方程为:

状态表和状态转移图如下所示:

该电路是Moore型电路。当X=0时,电路为模4加法计数器;当X=1时,电路为模4减法计数器。

27、解答:电路的状态方程和输出方程为:

状态表和状态转移图如下:

37

凡在输入序列中出现两个或两个以上“1” 之后再出现一个 “0” ,输出就为“1” ; 否则,输出为“0” 。

28、解答:根据题意分析,输入为二进制序列x,输出为Z;且电路应具有4个状态: S0、S1、S2、S3(其中S0为初始状态)。列状态表和状态图如下:

29、解答:该题目要求对有限长度 的串行序列进行的奇偶校验。一方面对于每接收到一位码后都要断定一下到目前为止接收的数据中 1 的数目是奇数还是偶数;另一方面还要记忆到目前为止已收到了几位数据。为此,电路的状态表和状态图如下: 状态表:

38

状态图:

30、解答:若编码为: S0=00 S1=01

S2=11 S3=10 则:

39

若编码为:S0=00 S1=01 S2=10 S3=11 则:

40

31、解答:

逻辑表达式如下:

32、解答:

列真值表,求得用最小项表示的逻辑函数表达式如下:

41

真值表如下:

点阵图如下:

33、解答:化简以上逻辑函数为:

点阵图如下:

42

34、解答:点阵图:

35、解答:时序FPLA采用D触发器。状态转换表如下:

逻辑表达式如下:

点阵图如下:

43

36、解答:

最简“与-或”表达式为: F?AC?BC 最简“或-与”表达式为: F?(A?C)?(B?C)

37、解答:

(加入

) (消去)

(消去

44

38、证明:

表1 真值表 A B 0 0 0 1 1 0 1 1 0 1 0 0 0 0 1 0 由表可看出,对应使相等。由此可证得:A=B

39、解答:

(1) 原始状态图

,且的AB组合只有两种00和11,即A和B的取值

(2) 原始状态表

现态 A B C D

次态/输出Z X = 0 B/0 C/0 C/0 A/1 X=1 A/0 D/0 A/1 A/0 45

40、解答: (1) 状态转移表 现态 次态 Qnn4 QnQn3 2 Q1 Qn?14 Qn?13 Qn?1n?12 Q1 1 1 1 0 0 1 0 1 0 1 0 1 1 1 0 0 1 1 0 0 1 0 1 1 1 0 1 1 1 0 0 1 1 0 0 1 0 1 1 1 0 1 1 1 0 0 1 0 0 0 1 0 1 1 1 0

(2) 次态方程:

Qn?14= Σm(2,5,11,12) Qn?13= Σm(2,5, 9,14) Qn?12= Σm(2,7, 9,12) Qn?11= Σm(9,11,12,14)

41、解答:1、画出原始状态图。输入端X:输入一串行随机信号 输出端Z:当X出现1110序列时,Z=1,否则,Z=0。 由此可画出原始状态图如图8所示:

2、对原始状态图进行简化得最简状态表

46

由原始状态表可看出状态A和E在相同的输入下,其输出和次态完全相同,因而状态A和状态E是等价态,可合并为同一个状态。而其它状态都不是等价态,因而不能合并。由此得到简化后的最简状态图和最简状态表。

47